DE112005002158B4 - Verfahren zur Herstellung einer Halbleitervorrichtung, die eine dielektrische Gateschicht mit hohem K und eine Gateelektrode aus Metall aufweist - Google Patents

Verfahren zur Herstellung einer Halbleitervorrichtung, die eine dielektrische Gateschicht mit hohem K und eine Gateelektrode aus Metall aufweist Download PDF

Info

Publication number
DE112005002158B4
DE112005002158B4 DE112005002158T DE112005002158T DE112005002158B4 DE 112005002158 B4 DE112005002158 B4 DE 112005002158B4 DE 112005002158 T DE112005002158 T DE 112005002158T DE 112005002158 T DE112005002158 T DE 112005002158T DE 112005002158 B4 DE112005002158 B4 DE 112005002158B4
Authority
DE
Germany
Prior art keywords
layer
metal layer
dielectric layer
gate
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112005002158T
Other languages
English (en)
Other versions
DE112005002158T5 (de
Inventor
Mark Beaverton Doczy
Justin Portland Brask
Jack Portland Kavalieros
Uday Portland Shau
Matthew Hillsboro Metz
Suman Beaverton Datta
Ramune Portland Nagisetty
Robert Baeverton Chau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tahoe Research Ltd
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112005002158T5 publication Critical patent/DE112005002158T5/de
Application granted granted Critical
Publication of DE112005002158B4 publication Critical patent/DE112005002158B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend:
Bilden einer ersten dielektrischen Schicht auf einem Substrat;
Bilden eines Grabens in der ersten dielektrischen Schicht;
Bilden einer dielektrischen Gateschicht mit hohem k auf dem Substrat, wobei die dielektrische Gateschicht mit hohem k einen ersten Teil, der am Boden des Grabens gebildet ist, und einen zweiten Teil aufweist;
Bilden einer ersten Metallschicht sowohl auf dem ersten als auch auf dem zweiten Teil der dielektrischen Gateschicht mit hohem k, wobei die erste Metallschicht eine erste Austrittsarbeit aufweist;
Bilden eines lichtabsorbierenden Opfermaterials auf der ersten Metallschicht, wobei ein erster Teil des lichtabsorbierenden Opfermaterials den ersten Teil der dielektrischen Gateschicht mit hohem k bedeckt, und ein zweiter Teil des lichtabsorbierenden Opfermaterials den zweiten Teil der dielektrischen Gateschicht mit hohem k bedeckt, Entfernen des ersten Teils des lichtabsorbierenden Opfermaterials, während der zweite Teil des lichtabsorbierenden Opfermaterials zurückbehalten wird, wodurch ein Teil der ersten...

Description

  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft Verfahren zur Herstellung von Halbleitervorrichtungen, insbesondere Halbleitervorrichtungen, die Gateelektroden aus Metall beinhalten.
  • HINTERGRUND DER ERFINDUNG
  • MOS-Feldeffekttransistoren mit sehr dünnen Gatedielektrika, die aus Siliziumdioxid hergestellt sind, können inakzeptable Gatekriechströme aufweisen. Das Bilden des Gatedielektrikums aus bestimmten dielektrischen Materialien mit hohem k (high-k) anstelle von Siliziumdioxid kann den Gatekriechstrom verringern. Da ein derartiges Dielektrikum jedoch vielleicht nicht mit Polysilizium kompatibel ist, kann es erwünscht sein, in Vorrichtungen, die Gatedielektrika mit hohem k beinhalten, Gateelektroden aus Metall zu verwenden.
  • Wenn eine CMOS-Vorrichtung, die Gateelektroden aus Metall beinhaltet, hergestellt wird, kann ein Ersatzgateprozeß (replacement gate process) verwendet werden, um Gateelektroden aus verschiedenen Metallen zu bilden. In einer Variante des Prozesses werden Polysiliziumschichten entfernt, um einen ersten und einen zweiten Graben (trench) zu bilden. Beide Gräben werden mit einer ersten Metallschicht gefüllt. Dann wird die erste Metallschicht aus dem ersten Graben entfernt. Eine zweite Metallschicht wird dann auf der ersten Metallschicht in dem zweiten Graben und auf dem Gatedielektrikum mit hohem k in dem ersten Graben abgeschieden.
  • Bei dieser Variante des Ersatzgateprozesses kann das Gatedielektrikum mit hohem k – in dem ersten Graben – als ein Ätzstopp dienen, wenn die erste Metallschicht aus dem ersten Graben entfernt wird. Wenn das Gatedielektrikum mit hohem k eine Funktion eines Ätzstopps ausführt, kann der Prozeß zum Ätzen der ersten Metallschicht das darunterliegende Dielektrikum beschädigen, was das Leistungsvermögen und die Verläßlichkeit von Transistoren, die das Gatedielektrikum mit hohem k beinhalten, nachteilig beeinflussen kann.
  • Aus den Druckschriften US 2004/0 087 070 A1 , US 2002/0 058 374 A1 , JP 2003-273 350 A , US 6 376 888 B1 sind weitere Halbleiterbauelemente mit einem Gatedielektrikum mit hohem k bekannt, bei denen eine Gate-Metallschicht in einem Teil der Transistoren umgewandelt wird, was zu unterschiedlichen Austrittsarbeiten der Gates führt.
  • Demgemäß besteht ein Bedarf an einem verbesserten Prozeß zur Herstellung einer Halbleitervorrichtung, die ein Gatedielektrikum mit hohem k und eine Gatelektrode aus geeignetem Metall beinhaltet, wobei ein Ersatzgateprozeß zur Herstellung einer derartigen Vorrichtung, der nicht erfordert, daß das Gatedielektrikum mit hohem k als Ätzstopp dient, wenn eine Metallschicht von seiner Oberfläche entfernt wird, angewendet werden soll. Das Verfahren der vorliegenden Erfindung stellt einen derartigen Prozeß bereit.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1a bis 1i stellen Querschnitte von Strukturen dar, die gebildet werden können, wenn eine Ausführungsform des Verfahrens der vorliegenden Erfindung ausgeführt wird.
  • 2 stellt ein Diagramm dar, das zeigt, wie die Austrittsarbeiten von verschiedenen Elementen nach ihrer Elektronegativität gestaffelt sind.
  • 3a bis 3e stellen Querschnitte von Strukturen dar, die gebildet werden können, wenn die Ausführungsform der 1a bis 1i ausgeführt wird, um eine Vorrichtung zu erzeugen, die in einem Graben einen pn-Übergang beinhaltet.
  • Es ist nicht beabsichtigt, daß Merkmale, die in diesen Figuren gezeigt sind, maßstabsgetreu gezeichnet sind.
  • DETAILLIERTE BESCHREIBUNG DER VORLIEGENDEN ERFINDUNG
  • Es wird ein Verfahren zur Herstellung einer Halbleitervorrichtung beschrieben. Das Verfahren umfaßt das Bilden einer ersten dielektrischen Schicht auf einem Substrat, das Bilden eines Grabens in der ersten dielektrischen Schicht, und das Bilden einer zweiten dielektrischen Schicht auf dem Substrat. Die zweite dielektrische Schicht weist einen ersten Teil, der am Boden des Grabens gebildet ist, und einen zweiten Teil auf. Eine erste Metallschicht mit einer ersten Austrittsarbeit wird auf dem ersten Teil der zweiten dielektrischen Schicht und auf dem zweiten Teil der zweiten dielektrischen Schicht gebildet. Die erste Metallschicht wird dann dort, wo sie auf dem ersten Teil der zweiten dielektrischen Schicht gebildet ist, in eine zweite Metallschicht mit einer zweiten Austrittsarbeit umgewandelt.
  • 1a bis 1i veranschaulichen Strukturen, die gebildet werden können, wenn eine Ausführungsform des Verfahrens der vorliegenden Erfindung ausgeführt wird. 1a stellt eine Zwischenstruktur dar, die gebildet werden kann, wenn eine CMOS-Vorrichtung hergestellt wird. Diese Struktur umfaßt einen ersten Teil 101 und einen zweiten Teil 102 eines Substrats 100. Ein Isolationsbereich 103 trennt den ersten Teil 101 vom zweiten Teil 102. Polysiliziumschichten 104 und 106 sind auf jeweiligen dielektrischen Blindschichten (dummy dielectric layers) 105 bzw. 107 gebildet. Die Polysiliziumschichten 104 und 106 liegen zwischen jweiligen Seitenwanddistanzstücken (sidewall spacers) 108 und 109 bzw. Seitenwanddistanzstücken 110 und 111. Eine erste dielektrische Schicht 112 trennt die Seitenwanddistanzstücke.
  • Das Substrat 100 kann jedes Material umfassen, das als eine Grundlage dienen kann, auf der eine Halbleitervorrichtung aufgebaut werden kann. Der Isolationsbereich 103 kann Siliziumdioxid oder andere Materialien, die die aktiven Bereiche des Transistors trennen können, umfassen. Die dielektrischen Blindschichten 105 und 107 können jeweils Siliziumdioxid oder andere Materialien, die das Substrat von anderen Substanzen isolieren können, umfassen. Die erste und die zweite Polysiliziumschicht 104 und 106 sind vorzugsweise jeweils zwischen etwa 10 und etwa 200 nm dick und insbesondere zwischen etwa 50 und etwa 160 nm dick. Diese Schichten können jeweils undotiert oder mit ähnlichen Substanzen dotiert sein. Alternativ kann eine Schicht dotiert sein, während die andere nicht dotiert ist, oder kann eine Schicht n-dotiert sein (z. B. mit Arsen, Phosphor oder einem anderen n-Material), während die andere p-dotiert ist (z. B. mit Bor oder einem anderen p-Material). Die Distanzstücke 108, 109, 110, 111 umfassen vorzugsweise Siliziumnitrid, während die erste dielektrische Schicht 112 Siliziumdioxid oder ein Material mit niedrigem k umfassen kann.
  • Es können herkömmliche Prozeßschritte, Materialien und Einrichtungen verwendet werden, um die Struktur von 1a zu erzeugen. Wie gezeigt kann die erste dielektrische Schicht 112 z. B. über einen herkömmlichen chemisch-mechanischen Poliervorgang (”CMP”) zurückpoliert sein, um die erste und die zweite Polysiliziumschicht 104 und 106 freizulegen. Obwohl dies nicht gezeigt ist, kann die Struktur von 1a viele andere Merkmale (z. B. eine Ätzstoppschicht aus Siliziumnitrid, Source- und Drainbereiche und eine oder mehrere Pufferschichten) beinhalten, die unter Verwendung herkömmlicher Prozesse gebildet werden können.
  • Nach dem Bilden der Struktur von 1a wird die erste und die zweite Polysiliziumschicht 104 und 106 entfernt. In einer bevorzugten Ausführungsform werden diese Schichten durch Anwenden eines Naßätzprozesses, oder von -prozessen, entfernt. Ein derartiger Naßätzprozeß kann das Einwirken einer wäßrigen Lösung, die eine Hydroxidquelle umfaßt, für eine ausreichende Zeit bei einer ausreichenden Temperatur auf die Schichten 104 und 106, um im wesentlichen alle diese Schichten zu entfernen, umfassen. Diese Hydroxidquelle kann zwischen etwa 2 und etwa 30 Volumenprozent Ammoniumhydroxid oder eines Tetraalkylammoniumhydroxids (z. B. Tetramethylammoniumhydroxid (”TMAH”) in entionisiertem Wasser umfassen.
  • Eine n-Polysiliziumschicht kann entfernt werden, indem sie einer Lösung ausgesetzt wird, die bei einer Temperatur von zwischen etwa 15°C und etwa 90°C (und vorzugsweise von unter etwa 40°C) gehalten wird und die zwischen etwa 2 und etwa 30 Volumenprozent Ammoniumhydroxid in entionisiertem Wasser umfaßt. Während des Einwirkungsschritts, der vorzugsweise zumindest eine Minute dauert, kann es erwünscht sein, Schallenergie mit einer Frequenz zwischen etwa 10 kHz und etwa 2.000 kHz anzulegen, während Energie mit zwischen etwa 1 und etwa 10 Watt/cm2 abgegeben wird. Zum Beispiel kann eine n-Polysiliziumschicht, die etwa 135 nm dick ist, entfernt werden, indem sie bei etwa 25°C für etwa 30 Minuten einer Lösung ausgesetzt wird, die etwa 15 Volumenprozent Ammoniumhydroxid in entionisiertem Wasser umfaßt, während Schallenergie von etwa 1.000 kHz angelegt wird, die Energie mit etwa 5 Watt/cm2 abgibt.
  • Als eine Alternative kann eine n-Polysiliziumschicht entfernt werden, indem sie für zumindest eine Minute einer Lösung ausgesetzt wird, die bei einer Temperatur von zwischen etwa 60°C und etwa 90°C gehalten wird und zwischen etwa 20 und etwa 30 Volumenprozent TMAH in entionisiertem Wasser umfaßt, während Schallenergie angelegt wird. Im wesentlichen kann eine derartige n-Polysiliziumschicht, die etwa 135 nm dick ist, zur Gänze entfernt werden, indem sie bei etwa 80°C für etwa 2 Minuten einer Lösung ausgesetzt wird, die etwa 25 Volumenprozent TMAH in entionisiertem Wasser enthält, während Schallenergie von etwa 1.000 kHz angelegt wird, die Energie mit etwa 5 Watt/cm2 abgibt.
  • Eine p-Polysiliziumschicht kann ebenfalls entfernt werden, indem sie für eine ausreichende Zeit bei einer ausreichenden Temperatur (z. B. von zwischen etwa 60°C und etwa 90°C) einer Lösung ausgesetzt wird, die zwischen etwa 20 und etwa 30 Volumenprozent TMAH in entionisiertem Wasser umfaßt, während Schallenergie angelegt wird. Der spezielle Naßätzprozeß, oder die -prozesse, der bzw. die verwendet werden sollte(n), um die erste und die zweite Polysiliziumschicht 104 und 106 zu entfernen, ist/sind abhängig davon, ob keine, eine oder beide dieser Schichten dotiert sind, z. B. eine Schicht n-dotiert und die andere p-dotiert ist, variieren wird bzw. werden.
  • Wenn zum Beispiel die Schicht 104 n-dotiert ist und die Schicht 106 p-dotiert ist, kann es erwünscht sein, zuerst einen Naßätzprozeß auf Ammoniumhydroxidbasis anzuwenden, um die n-Schicht zu entfernen, worauf die Anwendung eines Naßätzprozesses auf TMAH-Basis folgt, um die p-Schicht zu entfernen. Alternativ kann es erwünscht sein, die Schichten 104 und 106 mit einem passenden Naßätzprozeß auf TMAH-Basis gleichzeitig zu entfernen.
  • Nach dem Entfernen der ersten und der zweiten Polysiliziumschicht 104 und 106 sind die dielektrischen Blindschichten 105 und 107 freigelegt. In dieser Ausführungsform werden die Blindschichten 105 und 107 entfernt. Wenn die dielektrischen Blindschichten 105 und 107 Siliziumdioxid umfassen, können sie unter Verwendung eines Ätzprozesses, der für Siliziumdioxid selektiv ist, entfernt werden. Ein derartiger Ätzprozeß kann die Einwirkung einer Lösung, die etwa 1 Prozent HF in entionisiertem Wasser enthält, auf die Schichten 105 und 107 umfassen. Die Zeit der Einwirkung auf die Schichten 105 und 107 sollte begrenzt sein, da der Ätzprozeß zur Entfernung dieser Schichten auch einen Teil der ersten dielektrischen Schicht 112 entfernen kann. Dies im Sinn, sollte die Vorrichtung bei Verwendung einer Lösung auf Basis von 1 Prozent HF zur Entfernung der Schichten 105 und 107 der Lösung vorzugsweise für weniger als etwa 60 Sekunden, und insbesondere für etwa 30 Sekunden oder weniger, ausgesetzt werden. Wie in 1b gezeigt, läßt das Entfernen der dielektrischen Blindschichten 105 und 107 einen ersten und einen zweiten Graben 113 und 114 in der ersten dielektrischen Schicht 112 zurück, die jeweils zwischen Seitenwanddistanzstücken 108 und 109 bzw. Seitenwanddistanzstücken 110 und 111 positioniert sind.
  • In dieser Ausführungsform wird nach dem Entfernen der dielektrischen Blindschichten 105 und 107 eine zweite dielektrische Schicht 115 auf dem Substrat 100 gebildet. Die zweite dielektrische Schicht 115 weist einen ersten Teil 130, der am Boden des ersten Grabens 113 gebildet ist, und einen zweiten Teil 131 – der in dieser Ausführungsform am Boden des zweiten Grabens 114 gebildet ist – auf. Die zweite dielektrische Schicht 115 ist eine dielektrische Gateschicht mit hohem k. Einige der Materialien, die verwendet werden können, um eine derartige dielektrische Gateschicht mit hohem k herzustellen, beinhalten Hafniumoxid, Hafniumsiliziumoxid, Lanthanoxid, Lanthanaluminiumoxid, Zirconiumoxid, Zirconiumsiliziumoxid, Tantaloxid, Titanoxid, Bariumstrontiumtitanoxid, Bariumtitanoxid, Strontiumtitanoxid, Yttriumoxid, Aluminiumoxid, Bleiscandiumtantaloxid und Bleizinkniobat. Besonders bevorzugt sind Hafniumoxid, Zirconiumoxid und Aluminiumoxid. Obwohl hier einige wenige Beispiele für Materialien beschrieben sind, die verwendet werden können, um eine dielektrische Gateschicht mit hohem k zu bilden, kann diese Schicht aus anderen Materialien hergestellt werden.
  • Die dielektrische Gateschicht 115 mit hohem k kann unter Verwendung eines herkömmlichen Abscheidungsverfahrens, z. B. des Prozesses einer herkömmlichen chemischen Gasphasenabscheidung (”CVD”), einer Niederdruck-CVD, oder einer physikalischen Gasphasenabscheidung (”PVD”), auf dem Substrat 100 gebildet werden. Vorzugsweise wird ein herkömmlicher Atomlagen-CVD-Prozeß verwendet. Bei einem derartigen Prozeß können ein Metalloxidvorläufer (z. B. ein Metallchlorid) und Dampf bei ausgewählten Strömungsgeschwindigkeiten in einen CVD-Reaktor geführt werden, der dann bei einer ausgewählten Temperatur und einem ausgewählten Druck betrieben wird, um zwischen dem Substrat 100 und der dielektrischen Gateschicht 115 mit hohem k eine atomar glatte Grenzfläche zu erzeugen. Der CVD-Reaktor sollte lang genug betrieben werden, um eine Schicht mit der gewünschten Dicke zu bilden. Bei den meisten Anwendungen sollte die dielektrische Gateschicht 115 mit hohem k weniger als etwa 6 nm und vorzugsweise zwischen etwa 0,5 nm und etwa 4 nm dick sein.
  • Wie in 1c gezeigt, wird sich bei der Verwendung eines Atomlagen-CVD-Prozesses zur Bildung der dielektrischen Gateschicht 115 mit hohem k die Schicht zusätzlich zur Bildung am Boden der Gräben 113 und 114 an den Seiten dieser Gräben bilden. Wenn die dielektrische Gateschicht 115 mit hohem k ein Oxid umfaßt, kann sie abhängig vom Prozeß zu ihrer Herstellung Sauerstoffehlstellen an zufälligen Oberflächenstellen und inakzeptable Verunreinigungsgrade offenbaren. Es kann erwünscht sein, Verunreinigungen aus der Schicht 115 zu entfernen und sie zu oxidieren, um eine Schicht mit einer beinahe idealisierten Metall-zu-Sauerstoff-Stöchiometrie zu erzeugen, nachdem die Schicht 115 abgeschieden ist.
  • Zur Entfernung von Verunreinigungen aus dieser Schicht und zur Erhöhung des Sauerstoffgehalts dieser Schicht kann eine chemische Naßbehandlung auf die dielektrische Gateschicht 115 mit hohem k angewendet werden. Eine derartige chemische Naßbehandlung kann das Einwirken einer Lösung, die Wasserstoffperoxid umfaßt, bei einer ausreichenden Temperatur für eine ausreichende Zeit auf die dielektrische Gateschicht 115 mit hohem k umfassen, um Verunreinigungen aus der dielektrischen Gateschicht 115 mit hohem k zu entfernen und den Sauerstoffgehalt der dielektrischen Gateschicht 115 mit hohem k zu erhöhen. Die passende Zeit und Temperatur der Einwirkung auf die dielektrische Gateschicht 115 mit hohem k kann von der gewünschten Dicke und anderen Eigenschaften für die dielektrische Gateschicht 115 mit hohem k abhängen.
  • Wenn die dielektrische Gateschicht 115 mit hohem k einer Lösung auf Wasserstoffperoxidbasis ausgesetzt wird, kann eine wäßrige Lösung verwendet werden, die zwischen etwa 2 und etwa 30 Volumenprozent Wasserstoffperoxid enthält. Der Einwirkungsschritt sollte bei zwischen etwa 15°C und etwa 40°C für zumindest etwa eine Minute stattfinden. In einer besonders bevorzugten Ausführungsform wird die dielektrische Gateschicht 115 mit hohem k für etwa 10 Minuten bei einer Temperatur von etwa 25°C einer wäßrigen Lösung ausgesetzt, die etwa 6,7 Volumenprozent H2O2 enthält. Während des Einwirkungsschritts kann es erwünscht sein, Schallenergie bei einer Frequenz zwischen etwa 10 kHz und etwa 2.000 kHz anzulegen, während Energie mit zwischen etwa 1 und etwa 10 Watt/cm2 abgegeben wird. In einer bevorzugten Ausführungsform kann Schallenergie bei einer Frequenz von etwa 1.000 kHz angelegt werden, während Energie mit etwa 5 Watt/cm2 abgegeben wird.
  • Obwohl dies in 1c nicht gezeigt ist, kann es erwünscht sein, auf der dielektrischen Gateschicht 115 mit hohem k eine Deckschicht (capping layer) zu bilden, die nicht mehr als etwa fünf Monolagen (mono layers) dick ist. Eine derartige Deckschicht kann durch Sputtern von ein bis fünf Silizium-Monolagen oder eines anderen Materials auf die Oberfläche der dielektrischen Gateschicht 115 mit hohem k gebildet werden. Die Deckschicht kann dann z. B. durch Verwenden eines plasmaunterstützten chemischen Gasphasenabscheidungsprozesses oder einer Lösung, die ein Oxidationsmittel enthält, oxidiert werden, um ein abdeckendes (capping) dielektrisches Oxid zu bilden.
  • Obwohl es in einigen Ausführungsformen erwünscht sein kann, auf der dielektrischen Gateschicht 115 mit hohem k eine Deckschicht zu bilden, wird die erste Metallschicht 116 in der veranschaulichten Ausführungsform direkt auf der Schicht 115 gebildet, um die Struktur von 1c zu erzeugen. Die erste Metallschicht 116 weist eine erste Austrittsarbeit auf und ist sowohl auf dem ersten Teil 130 der dielektrischen Gateschicht 115 mit hohem k als auch auf dem zweiten Teil 131 der dielektrischen Gateschicht 115 mit hohem k gebildet. Die erste Metallschicht 116 kann ein geeignetes leitfähiges Material umfassen, aus dem eine Gateelektrode aus Metall erlangt werden kann, und kann unter Verwendung wohlbekannter PVD- oder CVD-Prozesse auf der dielektrischen Gateschicht 115 mit hohem k gebildet werden.
  • Beispiele für n-Materialien, die verwendet werden können, um die erste Metallschicht 116 zu bilden, beinhalten: Hafnium, Zirconium, Titan, Tantal, Aluminium und Metallcarbide, die diese Elemente beinhalten, d. h., Titancarbid, Zirconiumcarbid, Tantalcarbid, Hafniumcarbid und Aluminiumcarbid. Wenn ein n-Metall verwendet wird, kann die erste Metallschicht 116 alternativ ein Aluminid umfassen, z. B. ein Aluminid, das Hafnium, Zirconium, Titan, Tantal oder Wolfram umfaßt. Obwohl hier einige wenige Beispiele für Materialien, die verwendet werden können, um die erste Metallschicht 116 zu bilden, beschrieben sind, kann diese Schicht aus vielen anderen Materialien hergestellt werden.
  • Die erste Metallschicht 116 sollte dick genug sein, um sicherzustellen, daß jegliches Material, das darauf gebildet wird, ihre Austrittsarbeit nicht wesentlich beeinflussen wird. Vorzugsweise ist die erste Metallschicht 116 zwischen etwa 2,5 nm und etwa 30 nm dick, und insbesondere ist sie zwischen etwa 2,5 nm und etwa 20 nm dick. Wenn die erste Metallschicht 116 ein n-Material umfaßt, weist die Schicht 116 vorzugsweise eine Austrittsarbeit auf, die zwischen etwa 3,9 eV und etwa 4,2 eV liegt.
  • Wenn die erste Metallschicht 116 zum Beispiel eine Austrittsarbeit aufweist, die zur Bildung einer NMOS-Gateelektrode geeignet ist, muß dann ein Teil der ersten Metallschicht 116 in eine zweite Metallschicht umgewandelt werden, die eine zweite Austrittsarbeit aufweist, die zur Bildung einer PMOS-Gateelektrode geeignet ist.
  • In der veranschaulichten Ausführungsform wird die erste Metallschicht 116, wo sie auf dem ersten Teil 130 der dielektrischen Gateschicht 115 mit hohem k gebildet ist, in eine zweite Metallschicht mit einer zweiten Austrittsarbeit umgewandelt. Ein Teil der ersten Metallschicht 116 wird durch Hinzufügen einer austrittsarbeitsverschiebenden Komponente in eine zweite Metallschicht mit einer zweiten Austrittsarbeit umgewandelt. Eine austrittsarbeitsverschiebende Komponente wird durch Maskieren eines Teils der ersten Metallschicht 116 und nachfolgendem Hinzufügen der austrittsarbeitsverschiebenden Komponente zum unmaskierten Teil der ersten Metallschicht 116 zu einem Teil der ersten Metallschicht 116 hinzugefügt.
  • 1d bis 1i veranschaulichen eine Ausführungsform der vorliegenden Erfindung, wobei ein Teil der ersten Metallschicht 116 maskiert wird, nachdem die erste Metallschicht 116 auf der dielektrischen Gateschicht 115 mit hohem k gebildet wurde, und der freiliegende Teil der ersten Metallschicht 116 dann in eine zweite Metallschicht mit einer zweiten Austrittsarbeit umgewandelt wird. Zur Maskierung der ersten Metallschicht 116, z. B. dort, wo diese auf dem zweiten Teil 131 der dielektrischen Gateschicht 115 mit hohem k gebildet wurde, kann zuerst, wie in 1d gezeigt, eine Maskierungsschicht 132 auf der ersten Metallschicht 116 gebildet werden.
  • Die Maskierungsschicht 132 umfaßt ein lichtabsorbierendes Opfermaterial (”SLAM”) 132, das auf die erste Metallschicht 116 aufgeschleudert werden kann. Ein erster Teil 133 des SLAM 132 bedeckt den ersten Teil 130 der dielektrischen Gateschicht 115 mit hohem k, und ein zweiter Teil 134 des SLAM 132 bedeckt den zweiten Teil 131 der dielektrischen Gateschicht 115 mit hohem k. Nach dem Aufbringen des SLAM 132 auf der ersten Metallschicht 116 wird der erste Teil 133 des SLAM 132 entfernt, während der zweite Teil 134 des SLAM 132 belassen wird. Der erste Teil 133 des SLAM 132 kann auf die folgende Weise entfernt werden. Zuerst wird eine Schicht eines Photolacks (nicht gezeigt) auf dem SLAM 132 abgelagert, und dann so strukturiert, daß sie nur den zweiten Teil 134 des SLAM 132 bedeckt. Der freiliegende Teil 133 kann dann, z. B. durch Anwenden eines passenden Naßätzprozesses, entfernt werden. Nach dem Entfernen des Teils 133 des SLAM 132 kann die strukturierte Photoresistschicht entfernt werden. Als Ergebnis ist die erste Metallschicht 116 dort freigelegt, wo sie auf dem ersten Teil 130 der dielektrischen Gateschicht 115 mit hohem k gebildet ist, wie 1e veranschaulicht.
  • Das SLAM 132 kann zum Beispiel eine Aufschleuderglas(”SOG”)schicht oder eine Aufschleuderpolymer(”SOP”)schicht umfassen, die einen lichtabsorbierenden Farbstoff beinhaltet. Dieser lichtabsorbierende Farbstoff absorbiert vorzugsweise Licht bei der Wellenlänge, die verwendet wird, um eine darüberliegende Photolackschicht zu strukturieren. Zusätzlich sollte das SLAM 132 (ob es nun ein SOG oder ein SOP ist) die Gräben 113 und 114 vollständig und gleichmäßig füllen und Naßätzeigenschaften aufweisen, die es ihm ermöglichen, selektiv bis zur ersten Metallschicht 116 entfernt zu werden.
  • Nach dem Entfernen des SLAM 132, wo es den ersten Teil 130 der dielektrischen Gateschicht 115 mit hohem k bedeckt hatte, wird die erste Metallschicht 116 (wo sie auf dem ersten Teil 130 der dielektrischen Gateschicht 115 mit hohem k gebildet ist) in die zweite Metallschicht 135 umgewandelt, wie 1f veranschaulicht. Wie oben angegeben, wird der freiliegende Teil der ersten Metallschicht 116 durch Hinzufügen einer austrittsarbeitsverschiebenden Komponente zum unmaskierten Teil der ersten Metallschicht 116 in die zweite Metallschicht 135 umgewandelt.
  • Erfindungsgemäß wird der freiliegende Teil der ersten Metallschicht 116 einem Plasma ausgesetzt, das zumindest teilweise von einer austrittsarbeitsverschiebenden Komponente abstammt. Wenn die erste Metallschicht 116 ein n-Metall umfaßt, dann umfaßt die austrittsarbeitsverschiebende Komponente vorzugsweise ein Element mit einer verhältnismäßig hohen Elektronegativität, z. B. einem Elektronegativitätswert, der größer als etwa 2,8 ist, nämlich Fluor.
  • 2 stellt ein Diagramm bereit, das zeigt, wie die Austrittsarbeit eines Materials mit der Elektronegativität skaliert. Das Hinzufügen einer bedeutenden Menge eines Materials mit einer verhältnismäßig hohen Elektronegativität zum freiliegenden Teil der ersten Metallschicht 116 kann die Austrittsarbeit jenes Teils der ersten Metallschicht erhöhen. Das Hinzufügen einer bedeutenden Menge eines Materials mit einer verhältnismäßig niedrigen Elektronegativität zur ersten Metallschicht 116 würde die Austrittsarbeit der ersten Metallschicht senken.
  • Wie aus dem Diagramm ersichtlich ist, umfassen Elemente, die die Austrittsarbeit einer n-Metallschicht erhöhen können, wodurch diese potentiell zur Bildung einer PMOS-Gateelektrode geeignet gemacht wird, Stickstoff, Chlor, Sauerstoff, Fluor und Brom. Fluor ist zur Erhöhung der Austrittsarbeit einer n-Metallschicht vorgesehen.
  • Die Elemente, die am besten dazu dienen, die Austrittsarbeit der ersten Metallschicht 116 auf den gewünschten Grad zu erhöhen oder zu senken, können von der Zusammensetzung und den Eigenschaften der ersten Metallschicht 116 abhängen. Ob es am besten ist, der Schicht 116 ein einzelnes Element hinzuzufügen, oder statt dessen mehrere Elemente hinzuzufügen, kann von der besonderen Anwendung abhängen. Die optimale Konzentration der austrittsarbeitsverschiebenden Komponente (oder Komponenten), die dem freiliegenden Teil der ersten Metallschicht 116 hinzugefügt wird (werden), um seine Austrittsarbeit auf den Zielgrad zu verschieben, kann auch von der Zusammensetzung und den Eigenschaften der Schicht 116 (einschließlich ihrer ursprünglichen Austrittsarbeit), der Art der verwendeten austrittsarbeitsverschiebenden Komponente, und der Zielaustrittsarbeit abhängen.
  • In einer besonders bevorzugten Ausführungsform umfaßt die erste Metallschicht 116 ein n-Metall mit einer ersten Austrittsarbeit, die zwischen etwa 3,9 und etwa 4,2 liegt, und wird der freiliegende Teil dieses n-Metalls in eine zweite Metallschicht mit einer zweiten Austrittsarbeit umgewandelt, die zwischen etwa 4,9 und etwa 5,2 liegt. Eine derartige n-Metallschicht wird durch Einwirken eines Plasmas auf Fluorbasis auf diese n-Metallschicht in eine zweite Metallschicht mit einer zweiten Austrittsarbeit umgewandelt.
  • Diese Umwandlung kann in einem Elektronenzyklotronresonanz(”ECR”)plasmareaktor stattfinden. Ein Plasma auf Fluorbasis kann in einem derartigen Reaktor auf die folgende Weise erzeugt werden. Zuerst wird eine fluorhaltige Verbindung, z. B. Schwefelhexafluorid (”SF6”) zusammen mit einem inerten Gas, z. B. Argon, in den Reaktor geführt. Es sollte eine ausreichende Menge dieser Zusammensetzung in den Reaktor geführt werden, um sicherzustellen, daß die gewünschte Umwandlung stattfindet. Als nächstes sollte der Reaktor unter den passenden Bedingungen (z. B. Temperatur, Druck, Hochfrequenz und Leistung) und für eine ausreichende Zeit betrieben werden, um der ersten Metallschicht 116 genug Fluor hinzuzufügen, um eine zweite Metallschicht mit einer Austrittsarbeit zu schaffen, die zumindest etwa 4,9 eV beträgt. Es kann erwünscht sein, diesen Betrieb bei niedriger Leistung, z. B. bei zwischen etwa 100 Watt und 150 Watt, durchzuführen.
  • Man glaubt, daß ein derartiger Prozeß energetische Fluorionen veranlassen wird, sich vom Plasma zu lösen und chemisch mit der ersten Metallschicht 116 zu reagieren, um die zweite Metallschicht 135 zu schaffen. Da die erste Metallschicht 116 verhältnismäßig dünn ist, kann es nötig sein, Betriebsbedingungen für unterschiedliche Arten von Metallschichten, und für unterschiedliche Dicken, zu verändern, um sicherzustellen, daß eine derartige Plasmaabscheidungsbehandlung die erste Metallschicht 116 nicht sputtert. Nach dem Umwandeln des freiliegenden Teils der ersten Metallschicht 116 in die zweite Metallschicht 135 kann der zweite Teil 134 des SLAM 132 entfernt werden, um die Struktur von 1g zu erzeugen. Das SLAM 132 kann unter Verwendung eines passenden Naßätzprozesses entfernt werden.
  • Das Aufbringen eines lichtabsorbierenden Opfermaterials als das Maskierungsmaterial beim Verfahren der vorliegenden Erfindung ist aus zumindest den folgenden Gründen vorgesehen. Ein derartiges lichtabsorbierendes Opfermaterial kann enge Gräben füllen, die andere Materialien, z. B. Photolack, nicht angemessen füllen können. Zusätzlich können herkömmliche Ätzprozesse zum Entfernen verschiedener lichtabsorbierender Opfermaterialien derartige Materialien wirksam entfernen, ohne einen wesentlichen Teil der darunterliegenden Metallschicht zu entfernen.
  • In dieser Ausführungsform kann nach dem Umwandeln eines Teils der ersten Metallschicht 116 in die zweite Metallschicht 135 (und dem Entfernen des SLAM 132) der Rest der Gräben 113 und 114 mit einem Material gefüllt werden, das leicht poliert werden kann, z. B. Wolfram, Aluminium, Titan, oder Titannitrid. Ein derartiges Grabenfüllmetall, z. B. das Metall 121, kann unter Verwendung eines herkömmlichen Metallabscheidungsprozesses über der gesamten Vorrichtung abgeschieden werden, wodurch die Struktur von 1h erzeugt wird. Das Füllmetall 121, die zweite Metallschicht 135, die erste Metallschicht 116 und die dielektrische Gateschicht 115 mit hohem k können dann z. B. über einen geeigneten CMP-Prozeß, wie in 1i gezeigt, von der Oberfläche der ersten dielektrischen Schicht 112 entfernt werden.
  • In dieser Ausführungsform werden die zweite Metallschicht 135, die erste Metallschicht 116 und die dielektrische Gateschicht 115 mit hohem k zur gleichen Zeit von der Oberfläche der ersten dielektrischen Schicht 112 entfernt, zu der das Füllmetall 121 von der ersten dielektrischen Schicht 112 entfernt wird. In anderen Ausführungsformen können die zweite Metallschicht 135, die erste Metallschicht 116, und die dielektrische Gateschicht 115 mit hohem k von der Oberfläche der ersten dielektrischen Schicht 112 entfernt werden, bevor das Füllmetall 121 auf der ersten Metallschicht 116 und der zweiten Metallschicht 135 abgeschieden wird.
  • Nach dem Entfernen des Grabenfüllmetalls 121, außer dort, wo es die Gräben 113 und 114 füllt, kann eine abdeckende (capping) dielektrische Schicht (nicht gezeigt) unter Verwendung eines herkömmlichen Abscheidungsprozesses auf der sich ergebenden Struktur abgeschieden werden. Prozeßschritte zum Vervollständigen der Vorrichtung, die auf die Abscheidung einer derartigen abdeckenden dielektrischen Schicht folgen, z. B. das Bilden der Kontakte der Vorrichtung, von Metallinterconnects und der Passivierungsschicht, sind Fachleuten wohlbekannt und werden hier nicht beschrieben werden.
  • 3a bis 3e stellen Querschnitte von Strukturen dar, die gebildet werden können, wenn die Ausführungsform aus den 1a bis 1i ausgeführt wird, um eine Vorrichtung zu erzeugen, die einen pn-Übergang beinhaltet. Eine derartige Vorrichtung kann zum Beispiel einen SRAM umfassen, der bei der Prozeßentwicklungsarbeit verwendet werden kann. Die 3a bis 3e stellen Strukturen dar, die senkrecht zur Ebene der entsprechenden, in 1a bis 1i dargestellten Querschnitte ausgerichtet sind. In dieser Hinsicht stellen die 3a bis 3e Querschnitte dar, die sich ergeben, wenn die Vorrichtung aus der Position, die in den 1a bis 1i gezeigt ist, um 90° gedreht wird. 3a bis 3e entsprechen den Strukturen, die in dem Graben 113 aufgebaut sind, was in 1a bis 1i veranschaulicht ist.
  • In dieser Ausführungsform zeigt 3a Polysiliziumschichten 104 und 122, die auf einer dielektrischen Schicht 105 gebildet sind, welche auf einem Substrat 100 gebildet ist. Diese Struktur kann unter Verwendung von oben beschriebenen Materialien und Prozeßschritten erzeugt werden. Obwohl diese Ausführungsform zwei Polysiliziumschichten veranschaulicht, die unterschiedlich dotiert sein können, kann in alternativen Ausführungsformen eine einzelne Polysiliziumschicht auf der dielektrischen Schicht 105 gebildet sein.
  • Nach dem Bilden der Struktur von 3a werden die Polysiliziumschichten 104 und 122 und die dielektrische Schicht 105, z. B. unter Verwendung der oben beschriebenen Prozeßschritte, entfernt, um einen Graben 113 zu bilden, wie 3b veranschaulicht. Der Graben 113 wird dann mit einer dielektrischen Gateschicht 115 mit hohem k und einer ersten Metallschicht 116 bedeckt, um die Struktur von 3c zu erzeugen. Da die Prozeßschritte und die Materialien zur Bildung dieser Schichten vorher beschrieben wurden, wird hier keine weitere Darstellung geboten werden.
  • Ein Teil 141 der ersten Metallschicht 116 wird dann maskiert, und ein anderer Teil wird in eine zweite Metallschicht 135 umgewandelt, wodurch die Struktur von 3d erzeugt wird. Nach dem Entfernen der Maske wird der Rest des Grabens 113 mit einem Material (z. B. dem Grabenfüllmetall 121) gefüllt, das leicht poliert werden kann. Dieses Grabenfüllmetall wird dann, außer dort, wo es den Graben 113 füllt, zusammen mit den darunterliegenden Teilen der zweiten Metallschicht 135, der ersten Metallschicht 116 und der dielektrischen Gateschicht 115 mit hohem k entfernt, wie in 3e gezeigt ist. Ein herkömmlicher CMP-Schritt kann verwendet werden, um das Grabenfüllmetall und die darunterliegenden Materialien zurückzupolieren. Prozeßschritte zur Vervollständigung der Vorrichtung werden übergangen, da sie wohlbekannt sind.
  • In der Ausführungsform, die in den 3a bis 3e dargestellt ist, ist die zweite Metallschicht 135 vom p-Typ, wenn die erste Metallschicht 116 vom n-Typ ist. In der sich ergebenden Vorrichtung befindet sich der pn-Übergang dort, wo die erste Metallschicht 116 auf die zweite Metallschicht 135 trifft. In Vorrichtungen mit der Struktur von 3e kann ein benachbarter Graben (z. B. der Graben 114 aus den 1a bis 1i, in 3e nicht gezeigt) einen pn-Übergang mit der umgekehrten Ausrichtung aufweisen. In einem derartigen benachbarten Graben kann die zweite Metallschicht 135 die dielektrische Gateschicht 115 mit hohem k dort kontaktieren, wo in 3e die erste Metallschicht 116 diese dielektrische Schicht kontaktiert, während die erste Metallschicht 116 die dielektrische Gateschicht 115 mit hohem k dort kontaktieren kann, wo in 3e die zweite Metallschicht 135 diese dielektrische Schicht kontaktiert.
  • Obwohl die Ausführungsform aus den 3a bis 3e ein Verfahren zur Bildung einer Struktur mit einem pn-Übergang veranschaulicht, können andere Ausführungsformen Vorrichtungen bilden, die keinen pn-Übergang beinhalten. Zum Beispiel kann die in 1i gezeigte erste Metallschicht 116 in anderen Vorrichtungen den Graben 114 entlang seiner gesamten Breite bedecken, während die in 1i gezeigte zweite Metallschicht 135 den Graben 113 entlang seiner gesamten Breite bedeckt. Das Verfahren der vorliegenden Erfindung ist daher nicht auf die Bildung von Vorrichtungen mit pn-Übergängen beschränkt.
  • Hieraus folgt, daß der vorstehend erwähnte zweite Teil der vorstehend erwähnten zweiten dielektrischen Schicht in einem zweiten Graben gebildet sein kann, der sich von einem ersten Graben, welcher einen ersten Teil der zweiten dielektrischen Schicht aufnimmt, unterscheiden kann, oder statt dessen in dem gleichen Graben gebildet sein kann, der einen ersten Teil der zweiten dielektrischen Schicht aufnimmt. In den veranschaulichten Ausführungsformen wird die zweite dielektrische Schicht, die eine dielektrische Gateschicht mit hohem k umfassen kann, nach dem Bilden der ersten dielektrischen Schicht gebildet. In alternativen Ausführungsformen kann eine derartige zweite dielektrische Schicht gebildet werden, bevor die erste dielektrische Schicht gebildet wird.
  • Wie oben erläutert ermöglicht das Verfahren der vorliegenden Erfindung die Herstellung von CMOS-Vorrichtungen, die eine dielektrische Gateschicht mit hohem k und Gateelektroden aus Metall mit passenden Austrittsarbeiten sowohl für NMOS- als auch für PMOS-Transistoren beinhalten. Dieses Verfahren kann einen Ersatzgateprozeß ermöglichen, um eine derartige CMOS-Vorrichtung zu erzeugen, ohne das Entfernen eines Teils einer Metallgateschicht von einer darunterliegenden dielektrischen Gateschicht mit hohem k zu erfordern. Als Ergebnis kann der Prozeß der vorliegenden Erfindung einen derartigen Schritt des Entfernens daran hindern, die dielektrische Gateschicht mit hohem k zu beschädigen.

Claims (8)

  1. Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend: Bilden einer ersten dielektrischen Schicht auf einem Substrat; Bilden eines Grabens in der ersten dielektrischen Schicht; Bilden einer dielektrischen Gateschicht mit hohem k auf dem Substrat, wobei die dielektrische Gateschicht mit hohem k einen ersten Teil, der am Boden des Grabens gebildet ist, und einen zweiten Teil aufweist; Bilden einer ersten Metallschicht sowohl auf dem ersten als auch auf dem zweiten Teil der dielektrischen Gateschicht mit hohem k, wobei die erste Metallschicht eine erste Austrittsarbeit aufweist; Bilden eines lichtabsorbierenden Opfermaterials auf der ersten Metallschicht, wobei ein erster Teil des lichtabsorbierenden Opfermaterials den ersten Teil der dielektrischen Gateschicht mit hohem k bedeckt, und ein zweiter Teil des lichtabsorbierenden Opfermaterials den zweiten Teil der dielektrischen Gateschicht mit hohem k bedeckt, Entfernen des ersten Teils des lichtabsorbierenden Opfermaterials, während der zweite Teil des lichtabsorbierenden Opfermaterials zurückbehalten wird, wodurch ein Teil der ersten Metallschicht freigelegt wird; Umwandeln des freigelegten Teils der ersten Metallschicht in eine zweite Metallschicht mit einer zweiten Austrittsarbeit, wobei der freigelegte Teil der ersten Metallschicht durch Behandeln des freigelegten Teils der ersten Metallschicht mit einem Plasma auf Fluorbasis in die zweite Metallschicht mit der zweiten Austrittsarbeit umgewandelt wird; und Entfernen des zweiten Teils des lichtabsorbierenden Opfermaterials.
  2. Verfahren nach Anspruch 1, wobei die erste Metallschicht zwischen 2,5 nm und 30 nm dick ist, eine Austrittsarbeit aufweist, die zwischen 3,9 eV und 4,2 eV beträgt, und ein Material umfaßt, das aus der Gruppe gewählt ist, die aus Hafnium, Zirconium, Titan, Tantal, Aluminium, einem Metallcarbid und einem Aluminid besteht, und die zweite Metallschicht eine Austrittsarbeit aufweist, die zwischen 4,9 eV und 5,2 eV beträgt.
  3. Verfahren nach Anspruch 1 wobei das Behandeln des freigelegten Teils der ersten Metallschicht das Behandeln mit einem Plasma umfasst, das zumindest zum Teil von Schwefelhexafluorid abstammt.
  4. Verfahren nach Anspruch 1, wobei die dielektrische Gateschicht mit hohem k ein Material umfaßt, das aus der Gruppe gewählt ist, die Hafniumoxid, Hafniumsiliziumoxid, Lanthanoxid, Lanthanaluminiumoxid, Zirconiumoxid, Zirconiumsiliziumoxid, Tantaloxid, Titanoxid, Bariumstrontiumtitanoxid, Bariumtitanoxid, Strontiumtitanoxid, Yttriumoxid, Aluminiumoxid, Bleiscandiumtantaloxid und Bleizinkniobat umfaßt.
  5. Verfahren nach Anspruch 1, wobei die erste Metallschicht ein Material umfaßt, das aus der Gruppe gewählt ist, die Hafnium, Zirconium, Titan, Tantal, Aluminium, ein Metallcarbid und ein Aluminid umfaßt.
  6. Verfahren nach Anspruch 1, wobei die erste Metallschicht zwischen 2,5 nm und 30 nm dick ist und eine Austrittsarbeit aufweist, die zwischen 3,9 eV und 4,2 eV beträgt, und die zweite Metallschicht eine Austrittsarbeit aufweist, die zwischen 4,9 eV und 5,2 eV beträgt.
  7. Verfahren nach Anspruch 1, wobei die dielektrische Gateschicht mit hohem k auf dem Substrat gebildet wird, bevor die erste dielektrische Schicht auf dem Substrat gebildet wird, und wobei der zweite Teil der dielektrischen Gateschicht wie der erste Teil der dielektrischen Gateschicht am Boden des Grabens gebildet wird.
  8. Verfahren nach Anspruch 1, wobei der zweite Teil der dielektrischen Gateschicht mit hohem k am Boden eines zweiten Grabens gebildet wird, der in der ersten dielektrischen Schicht gebildet ist.
DE112005002158T 2004-09-08 2005-08-22 Verfahren zur Herstellung einer Halbleitervorrichtung, die eine dielektrische Gateschicht mit hohem K und eine Gateelektrode aus Metall aufweist Active DE112005002158B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/937,195 2004-09-08
US10/937,195 US7390709B2 (en) 2004-09-08 2004-09-08 Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
PCT/US2005/029813 WO2006028690A1 (en) 2004-09-08 2005-08-22 A method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode

Publications (2)

Publication Number Publication Date
DE112005002158T5 DE112005002158T5 (de) 2007-07-05
DE112005002158B4 true DE112005002158B4 (de) 2010-06-10

Family

ID=35448053

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112005002158T Active DE112005002158B4 (de) 2004-09-08 2005-08-22 Verfahren zur Herstellung einer Halbleitervorrichtung, die eine dielektrische Gateschicht mit hohem K und eine Gateelektrode aus Metall aufweist

Country Status (5)

Country Link
US (2) US7390709B2 (de)
CN (1) CN101095223B (de)
DE (1) DE112005002158B4 (de)
TW (1) TWI282593B (de)
WO (1) WO2006028690A1 (de)

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7902058B2 (en) * 2004-09-29 2011-03-08 Intel Corporation Inducing strain in the channels of metal gate transistors
US7514310B2 (en) * 2004-12-01 2009-04-07 Samsung Electronics Co., Ltd. Dual work function metal gate structure and related method of manufacture
US7745887B2 (en) 2005-02-22 2010-06-29 Samsung Electronics Co., Ltd. Dual work function metal gate structure and related method of manufacture
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
US7871943B2 (en) * 2008-02-12 2011-01-18 Qimonda Ag Method of making transistor gates with controlled work function
US7880236B2 (en) * 2008-07-28 2011-02-01 Advanced Micro Devices, Inc. Semiconductor circuit including a long channel device and a short channel device
US8058119B2 (en) 2008-08-27 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Device scheme of HKMG gate-last process
KR101634748B1 (ko) 2009-12-08 2016-07-11 삼성전자주식회사 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법
US8334197B2 (en) * 2009-12-16 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating high-k/metal gate device
CN102110650A (zh) 2009-12-29 2011-06-29 中国科学院微电子研究所 一种半导体器件及其制造方法
US8835294B2 (en) * 2010-03-16 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving thermal stability of metal gate
CN102194693B (zh) * 2010-03-16 2013-05-22 中国科学院微电子研究所 一种半导体器件及其制造方法
US8653602B2 (en) * 2010-09-11 2014-02-18 International Business Machines Corporation Transistor having replacement metal gate and process for fabricating the same
CN102420136B (zh) * 2010-09-25 2013-08-14 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
KR101746709B1 (ko) * 2010-11-24 2017-06-14 삼성전자주식회사 금속 게이트 전극들을 갖는 반도체 소자의 제조방법
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US9384962B2 (en) 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
US8704294B2 (en) 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8673758B2 (en) 2011-06-16 2014-03-18 United Microelectronics Corp. Structure of metal gate and fabrication method thereof
US8536038B2 (en) 2011-06-21 2013-09-17 United Microelectronics Corp. Manufacturing method for metal gate using ion implantation
KR101692362B1 (ko) * 2011-06-22 2017-01-05 삼성전자 주식회사 식각 정지 절연막을 이용한 반도체 장치의 제조 방법
JP2013021274A (ja) * 2011-07-14 2013-01-31 Toshiba Corp 半導体装置
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8580641B2 (en) * 2011-07-26 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing high-k dielectric metal gate CMOS
US9755039B2 (en) * 2011-07-28 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a metal gate electrode stack
US8551876B2 (en) 2011-08-18 2013-10-08 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8872286B2 (en) 2011-08-22 2014-10-28 United Microelectronics Corp. Metal gate structure and fabrication method thereof
US8477006B2 (en) * 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8765588B2 (en) 2011-09-28 2014-07-01 United Microelectronics Corp. Semiconductor process
US20130087856A1 (en) * 2011-10-05 2013-04-11 International Business Machines Corporation Effective Work Function Modulation by Metal Thickness and Nitrogen Ratio for a Last Approach CMOS Gate
CN103094211B (zh) * 2011-10-31 2015-04-01 中芯国际集成电路制造(上海)有限公司 制造半导体器件的方法
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8546212B2 (en) 2011-12-21 2013-10-01 United Microelectronics Corp. Semiconductor device and fabricating method thereof
US8691681B2 (en) 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof
US20130199916A1 (en) * 2012-02-08 2013-08-08 Empire Technology Development Llc Elongational structures
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
US8383473B1 (en) * 2012-04-12 2013-02-26 Globalfoundries Inc. Methods of forming replacement gate structures for semiconductor devices
US8951855B2 (en) 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8877623B2 (en) * 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
KR20130127257A (ko) 2012-05-14 2013-11-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9105623B2 (en) 2012-05-25 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8975666B2 (en) 2012-08-22 2015-03-10 United Microelectronics Corp. MOS transistor and process thereof
FR2995135B1 (fr) * 2012-09-05 2015-12-04 Commissariat Energie Atomique Procede de realisation de transistors fet
CN103681276B (zh) * 2012-09-18 2016-08-31 中芯国际集成电路制造(上海)有限公司 金属栅极、mos晶体管及cmos结构分别的形成方法
CN103855006A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 半导体器件的制造方法
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US8872225B2 (en) * 2012-12-20 2014-10-28 Intel Corporation Defect transferred and lattice mismatched epitaxial film
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US9129985B2 (en) 2013-03-05 2015-09-08 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9177479B2 (en) 2013-03-13 2015-11-03 General Electric Company System and method for determining aircraft operational parameters and enhancing aircraft operation
US9508716B2 (en) * 2013-03-14 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor device
US9490288B2 (en) * 2013-03-15 2016-11-08 Taiwan Semiconductor Manufacturing Company Limited Image sensor with trenched filler grid within a dielectric grid including a reflective portion, a buffer and a high-K dielectric
US9653300B2 (en) 2013-04-16 2017-05-16 United Microelectronics Corp. Structure of metal gate structure and manufacturing method of the same
US9184254B2 (en) 2013-05-02 2015-11-10 United Microelectronics Corporation Field-effect transistor and fabricating method thereof
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9099393B2 (en) 2013-08-05 2015-08-04 International Business Machines Corporation Enabling enhanced reliability and mobility for replacement gate planar and FinFET structures
US20150069534A1 (en) 2013-09-11 2015-03-12 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9105720B2 (en) 2013-09-11 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9196546B2 (en) 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US9018711B1 (en) * 2013-10-17 2015-04-28 Globalfoundries Inc. Selective growth of a work-function metal in a replacement metal gate of a semiconductor device
US9202809B2 (en) 2014-02-06 2015-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing thereof
US9231071B2 (en) 2014-02-24 2016-01-05 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
US10056462B2 (en) * 2014-08-13 2018-08-21 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
KR102342850B1 (ko) 2015-04-17 2021-12-23 삼성전자주식회사 반도체 소자의 제조를 위한 유전체층의 큐어링 방법
TWI663656B (zh) 2015-07-23 2019-06-21 聯華電子股份有限公司 具有金屬閘極之半導體元件及其製作方法
KR102381342B1 (ko) 2015-09-18 2022-03-31 삼성전자주식회사 게이트를 갖는 반도체 소자의 형성 방법
CN105336692A (zh) * 2015-09-22 2016-02-17 上海华力微电子有限公司 一种后金属栅极中功函数层调节方法
CN105633171A (zh) * 2016-03-22 2016-06-01 京东方科技集团股份有限公司 一种薄膜晶体管及其制作方法、显示装置
CN108389835B (zh) * 2017-02-03 2020-10-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10475895B2 (en) 2017-05-25 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10490452B2 (en) * 2017-06-30 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a semiconductor device
CN109309054B (zh) * 2017-07-26 2020-12-22 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
CN107564807B (zh) * 2017-08-31 2019-04-30 长江存储科技有限责任公司 一种金属栅极结构及其形成方法
CN111293118B (zh) * 2018-12-10 2023-07-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20210223241A1 (en) * 2020-01-17 2021-07-22 Samsung Electronics Co., Ltd. Aluminum metasurfaces for highly sensitive and enhanced detection of analytes for smartphone diagnostics and methods for making and using the same
CN115132663A (zh) * 2022-08-29 2022-09-30 合肥新晶集成电路有限公司 功函数层的制作方法、金属栅极及具有其的半导体器件

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6376888B1 (en) * 1999-04-30 2002-04-23 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20020058374A1 (en) * 2000-11-16 2002-05-16 Tae-Kyun Kim Method of forming dual-metal gates in semiconductor device
US6518154B1 (en) * 2001-03-21 2003-02-11 Advanced Micro Devices, Inc. Method of forming semiconductor devices with differently composed metal-based gate electrodes
JP2003273350A (ja) * 2002-03-15 2003-09-26 Nec Corp 半導体装置及びその製造方法
US20040087070A1 (en) * 2002-10-30 2004-05-06 Kabushiki Kaisha Toshiba Method for manufacturing a semiconductor device
WO2006017190A1 (en) * 2004-07-12 2006-02-16 Intel Corporation Forming dual metal complementary metal oxide semiconductor integrated circuits

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3256030A (en) * 1963-05-17 1966-06-14 Nat Mfg Co Structural bracket and method of making same
US4788801A (en) * 1986-02-13 1988-12-06 Jones Graham R Ridge system
KR970004447B1 (ko) * 1993-09-08 1997-03-27 삼성전자 주식회사 반사방지막 제조 방법 및 이를 이용한 반도체 장치의 제조 방법
US5326318A (en) * 1993-08-24 1994-07-05 Rotter Martin J Roof ridge ventilator
DE4401139A1 (de) * 1994-01-17 1995-08-17 Norm Amc Ag Lüftungselement für Dächer mit Abdichtorgan
DE19537266C2 (de) * 1995-10-06 2002-11-07 Kurt Schade Gmbh & Co Ing Dichtungsstreifen für eine First- oder Gratabdeckung
US5713158A (en) * 1995-12-04 1998-02-03 Gibbs; Alden T. Roofing ridge installation
NO309733B1 (no) * 1996-01-27 2001-03-19 Norm Amc Ag Lufteelement for tak, samt fremgangsmåte for fremstilling av et slikt lufteelement
US6063698A (en) * 1997-06-30 2000-05-16 Motorola, Inc. Method for manufacturing a high dielectric constant gate oxide for use in semiconductor integrated circuits
US6261887B1 (en) 1997-08-28 2001-07-17 Texas Instruments Incorporated Transistors with independently formed gate structures and method
US20020197790A1 (en) * 1997-12-22 2002-12-26 Kizilyalli Isik C. Method of making a compound, high-K, gate and capacitor insulator layer
US6083836A (en) * 1997-12-23 2000-07-04 Texas Instruments Incorporated Transistors with substitutionally formed gate structures and method
US6335534B1 (en) * 1998-04-17 2002-01-01 Kabushiki Kaisha Toshiba Ion implantation apparatus, ion generating apparatus and semiconductor manufacturing method with ion implantation processes
US6015343A (en) * 1998-12-02 2000-01-18 Building Materials Corporation Of America Tile roof vent
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
GB2358737A (en) 1999-03-01 2001-08-01 Nec Corp Methods for manufacturing a complimentary integrated circuit
US6255698B1 (en) * 1999-04-28 2001-07-03 Advanced Micro Devices, Inc. Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit
US20020072324A1 (en) * 2000-01-31 2002-06-13 Strait Mark C. Shear diaphragm ventilation product and method of making same
US6184072B1 (en) * 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
JP2002118175A (ja) 2000-10-05 2002-04-19 Toshiba Corp 半導体装置及びその製造方法
US6559462B1 (en) * 2000-10-31 2003-05-06 International Business Machines Corporation Method to reduce downtime while implanting GeF4
US6475874B2 (en) * 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US6544906B2 (en) * 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
KR100387259B1 (ko) * 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6410376B1 (en) * 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration
US6365450B1 (en) * 2001-03-15 2002-04-02 Advanced Micro Devices, Inc. Fabrication of P-channel field effect transistor with minimized degradation of metal oxide gate
JP2002299610A (ja) 2001-03-30 2002-10-11 Toshiba Corp 半導体装置およびその製造方法
KR100399356B1 (ko) * 2001-04-11 2003-09-26 삼성전자주식회사 듀얼 게이트를 가지는 씨모스형 반도체 장치 형성 방법
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6573193B2 (en) * 2001-08-13 2003-06-03 Taiwan Semiconductor Manufacturing Co., Ltd Ozone-enhanced oxidation for high-k dielectric semiconductor devices
US6797599B2 (en) * 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
US6667246B2 (en) * 2001-12-04 2003-12-23 Matsushita Electric Industrial Co., Ltd. Wet-etching method and method for manufacturing semiconductor device
US6620713B2 (en) * 2002-01-02 2003-09-16 Intel Corporation Interfacial layer for gate electrode and high-k dielectric layer and methods of fabrication
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6794234B2 (en) * 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US6617209B1 (en) * 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6617210B1 (en) * 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6770568B2 (en) * 2002-09-12 2004-08-03 Intel Corporation Selective etching using sonication
US6746967B2 (en) * 2002-09-30 2004-06-08 Intel Corporation Etching metal using sonication
US6689675B1 (en) * 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6709911B1 (en) * 2003-01-07 2004-03-23 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6716707B1 (en) * 2003-03-11 2004-04-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6696327B1 (en) * 2003-03-18 2004-02-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6921711B2 (en) * 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
US7153784B2 (en) 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7381619B2 (en) * 2004-04-27 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dual work-function metal gates
US20050250258A1 (en) 2004-05-04 2005-11-10 Metz Matthew V Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6376888B1 (en) * 1999-04-30 2002-04-23 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20020058374A1 (en) * 2000-11-16 2002-05-16 Tae-Kyun Kim Method of forming dual-metal gates in semiconductor device
US6518154B1 (en) * 2001-03-21 2003-02-11 Advanced Micro Devices, Inc. Method of forming semiconductor devices with differently composed metal-based gate electrodes
JP2003273350A (ja) * 2002-03-15 2003-09-26 Nec Corp 半導体装置及びその製造方法
US20040087070A1 (en) * 2002-10-30 2004-05-06 Kabushiki Kaisha Toshiba Method for manufacturing a semiconductor device
WO2006017190A1 (en) * 2004-07-12 2006-02-16 Intel Corporation Forming dual metal complementary metal oxide semiconductor integrated circuits

Also Published As

Publication number Publication date
CN101095223A (zh) 2007-12-26
TWI282593B (en) 2007-06-11
US7785958B2 (en) 2010-08-31
US20060051924A1 (en) 2006-03-09
WO2006028690A1 (en) 2006-03-16
TW200633074A (en) 2006-09-16
US7390709B2 (en) 2008-06-24
DE112005002158T5 (de) 2007-07-05
US20090042405A1 (en) 2009-02-12
CN101095223B (zh) 2011-04-20

Similar Documents

Publication Publication Date Title
DE112005002158B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung, die eine dielektrische Gateschicht mit hohem K und eine Gateelektrode aus Metall aufweist
DE112005001593B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit einer High-K-Gate-Dielektrikumschicht und einer Metall-Gateelektrode
DE112005000854B4 (de) Verfahren zum Herstellen eines Halbleiterelements mit einer High-K-Gate-Dielektrischen Schicht und einer Gateelektrode aus Metall
DE112008000094B4 (de) CMOS-Vorrichtung mit Dual-Epi-Kanälen und selbstausgerichteten Kontakten und Herstellungsverfahren
DE112005001828B4 (de) Verfahren zum Herstellen von Halbleiterbauelementen mit planarisieren einer Halbleiterstruktur zum Ausbilden von Austauschmetallgates sowie entsprechende Halbleiterstruktur
DE112007001134B4 (de) Vorrichtung mit ausgespartem Austrittsarbeitsmetall in CMOS-Transistor-Gates und Herstellungsverfahren
DE102006046374B4 (de) Verfahren zum Reduzieren der Lackvergiftung während des Strukturierens von Siliziumnitridschichten in einem Halbleiterbauelement
DE602004009740T2 (de) Halbleiterbauelemente mit Transistoren und Herstellungsverfahren dazu
DE112006001705B4 (de) Verfahren zur Herstellung eines Integrierten Komplementär-Metalloxid-Halbleiter-Schaltkreises unter Verwendung eines erhöhten Source-Drains und eines Ersatz-Metall-Gates
DE112014006222B4 (de) Verfahren zum Ausbilden von SONOS-Speichertransistoren und CMOS-Transistoren
DE102005024798B4 (de) Verfahren zum Herstellen eines Halbleiterbauelements mit verschiedenen dielektrischen Gateschichten
DE102011090163B4 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür
DE112005002350B4 (de) Ein Verfahren zur Herstellung eines Halbleiterbauelements mit High-k-Gate-Dielektrikumschicht und Silizid-Gate-Elektrode
DE102017119616A1 (de) Abstandshalter für Nanodraht-basierte integrierte Schaltungsvorrichtung und Herstellungsverfahren
DE102006046381B4 (de) Verfahren zur Verringerung der "Lackvergiftung" während der Strukturierung verspannter stickstoffenthaltender Schichten in einem Halbleiterbauelement
DE102011077661B4 (de) Metallgateelektrodenstrukturen und Verfahren zu deren Herstellung durch eine Reduzierung des Gatefüllaspektverhältnisses in einer Austauschgatetechnologie
DE112015006291T5 (de) Verfahren zur ONO-Stapel-Bildung
DE102007041207A1 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102016105520B4 (de) Bildung eines Übergangs mit symmetrischer Erweiterung mit einem Abstandshalter mit niedrigem K und zweifacher epitaxialer Prozess in einer FinFET-Einheit
DE102009010883A1 (de) Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der Transistorherstellung mittels eines Zwischenoxidationsprozesses
DE102009021489A1 (de) Erhöhen der Abscheidegleichmäßigkeit für eine Halbleiterlegierung durch einen in-situ-Ätzprozess
DE102010002450B4 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε und angepassten Kanalhalbleitermaterialien
DE102006030264A1 (de) Transistor mit einem Kanal mit biaxialer Verformung, die durch Silizium/Germanium in der Gateelektrode hervorgerufen wird
DE102008063402A1 (de) Verringerung der Schwellwertspannungsfluktuation in Transistoren mit einer Kanalhalbleiterlegierung durch Verringern der Abscheideungleichmäßigkeiten
DE112006001520B4 (de) Prozess für die Herstellung erhabener Source- und Drain-Gebiete mit zu entfernenden Abstandshaltern, wobei "Mausohren" vermieden werden

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R081 Change of applicant/patentee

Owner name: TAHOE RESEARCH, LTD., IE

Free format text: FORMER OWNER: INTEL CORPORATION, SANTA CLARA, CALIF., US

R082 Change of representative

Representative=s name: DENNEMEYER & ASSOCIATES S.A., DE