DE10307822A1 - Grabenisolationsprozesse unter Verwendung einer Polysilizium-unterstützten Füllung - Google Patents

Grabenisolationsprozesse unter Verwendung einer Polysilizium-unterstützten Füllung

Info

Publication number
DE10307822A1
DE10307822A1 DE10307822A DE10307822A DE10307822A1 DE 10307822 A1 DE10307822 A1 DE 10307822A1 DE 10307822 A DE10307822 A DE 10307822A DE 10307822 A DE10307822 A DE 10307822A DE 10307822 A1 DE10307822 A1 DE 10307822A1
Authority
DE
Germany
Prior art keywords
array
trench isolation
auxiliary
oxide
deep
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE10307822A
Other languages
English (en)
Other versions
DE10307822B4 (de
Inventor
Andreas Knorr
Ramachandra Divakaruni
Jochen Beintner
Jack Mandelman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qimonda AG
International Business Machines Corp
Original Assignee
Infineon Technologies AG
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG, International Business Machines Corp filed Critical Infineon Technologies AG
Publication of DE10307822A1 publication Critical patent/DE10307822A1/de
Application granted granted Critical
Publication of DE10307822B4 publication Critical patent/DE10307822B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/763Polycrystalline semiconductor regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Element Separation (AREA)

Abstract

Offenbart wird ein Verfahren zum gleichzeitigen Bereitstellen von Grabenisolationen für den Arraybereich und den Hilfsbereich eines aus einem Substratmaterial hergestellten Halbleitersubstrats, wobei das Verfahren folgendes umfaßt: Bereitstellen einer ersten Hartmaskenschicht für den Arraybereich und den Hilfsbereich, wobei die erste Hartmaske Maskenöffnungen umfaßt, die Grabenisolationen im Arraybereich und im Hilfsbereich definieren, Bereitstellen von tiefen Arraygrabenisolationen in den Arraybereichen, Bereitstellen einer zudeckenden, planarisierten Schicht aus einem leitenden Material sowohl über den Hilfsbereich als auch dem Arraybereich, das ausreicht, um die Maskenöffnungen und die tiefen Arraygrabenisolationen zu füllen, Ätzen des leitenden Materials durch das erste Hartmaskenmaterial bis hinunter in die Halbleitersubstrate, um Hilfsgrabenisolationen zu bilden, so daß sowohl tiefe Arraygrabenisolationen als auch Hilfsgrabenisolationen gleiche Tiefe aufweisen und wobei ein leitendes Element, das eine Menge des leitenden Materials umfaßt, im unteren Teil jedes der tiefen Arraygräben zurückbleibt.

Description

    1. Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft die Herstellung von Grabenisolationen auf einem Halbleitersubstrat unter Verwendung einer Polysilizium-unterstützten Füllung sowohl mit als auch ohne Feldabschirmungsisolation.
  • 2. Erläuterung des Stands der Technik
  • In der Halbleitertechnik besteht ein Ziel darin, dicht gepackte Bauelemente auf einem Halbleitersubstrat ständig zu verkleinern, um die Größe und den Stromverbrauch der integrierten Schaltungen zu reduzieren. Eng gepackte Bauelemente werden in der Regel voneinander durch Grabenisolationen getrennt, um zu verhindern, daß Dotierstoffe des aktiven Bereichs eines Bauelements zu benachbarten Bauelementen wandern, und um parasitäre Stromleitung zwischen aktiven Bereichen zu vermeiden.
  • In der Technik der Speicherbauelemente, wie etwa in dem am 11. Juni 1996 erteilten US-Patent 5,525,531, wird bekannterweise ein Leiter in eine Grabenisolation eingebracht, um als eine Feldabschirmung zu dienen. Speicherbauelemente, wie etwa RAMs, DRAMs und dergleichen, umfassen im allgemeinen einen Arraybereich und einen Hilfsbereich. Der Arraybereich enthält die Speicherzellen, und der Hilfsbereich enthält die Hilfsbauelemente, die erforderlich sind, um die Adressierung der Speicherzellen und die Datenübertragung in diese und aus diesen heraus zu steuern. Beide Bereiche benötigen Grabenisolationen, aber von unterschiedlicher Art. Arraybauelemente können als vertikale Bauelemente ausgeführt werden, um Platz zu sparen. Gräben im Arraybereich müssen tiefer sein, sogenannte "tiefe Isolationsgräben", als die im Hilfsbereich, um die vertikalen Bauelemente voreinander zu schützen und um ausreichend Platz bereitzustellen, um leitendes Material einzuführen, das die Feldabschirmungen umfassen wird, weil das vertikale Bauelement in der Regel um bis zu 350 nm in der vertikalen Richtung länger ist als planare Bauelemente. Isolationsgräben werden unter diesen Umständen tiefer geätzt als der untere Source-/Drainkontakt für die Isolierung. In die Hilfsgräben wird überhaupt kein leitendes Material eingeführt. Somit sind die Gräben des Arraybereichs und des Hilfsbereichs verschieden, werden aber im wesentlichen auf gleicher Höhe ausgebildet.
  • Die verschiedenen Gräben für den Arraybereich und den Hilfsbereich werden in der Regel hergestellt, indem ein Bereich maskiert wird, während die Gräben des anderen aufgebaut werden, doch ist dies ein Zeit- und ressourcenraubender Prozeß. Es ist wünschenswert, einen Prozeß einzusetzen, bei dem die meisten Prozeßschritte gleichzeitig am Arraybereich und am Hilfsbereich ausgeführt werden, wodurch bei der Herstellung Zeit und Ressourcen eingespart werden.
  • KURZE DARSTELLUNG DER ERFINDUNG
  • Offenbart wird ein Verfahren zum gleichzeitigen Bereitstellen von Grabenisolationen für den Arraybereich und den Hilfsbereich eines aus einem Substratmaterial hergestellten Halbleitersubstrats, wobei das Verfahren folgendes umfaßt: Bereitstellen einer ersten Hartmaskenschicht für den Arraybereich und den Hilfsbereich, wobei die erste Hartmaske Maskenöffnungen umfaßt, die Grabenisolationen im Arraybereich und im Hilfsbereich definieren, Bereitstellen von tiefen Arraygrabenisolationen in den Arraybereichen, Bereitstellen einer zudeckenden, planarisierten Schicht eines leitenden Materials sowohl über dem Hilfsbereich als auch dem Arraybereich, ausreichend, um die Maskenöffnungen und die tiefen Arraygrabenisolationen zu füllen, Ätzen des leitenden Materials durch das erste Hartmaskenmaterial bis hinunter in die Halbleitersubstrate, um Hilfsgrabenisolationen zu bilden, so daß sowohl tiefe Arraygrabenisolationen als auch Hilfsgrabenisolationen gleiche Tiefe aufweisen, und wobei ein leitendes Element, das eine Menge des leitenden Materials umfaßt, im unteren Teil jedes der tiefen Arraygräben zurückbleibt.
  • Gemäß einem weiteren Aspekt des Verfahrens ist das Substratmaterial Silizium und das leitende Material Polysilizium.
  • Gemäß einem weiteren Aspekt des Verfahrens umfaßt der Arraybereich vertikale Bauelemente.
  • Gemäß einem weiteren Aspekt des Verfahrens steht das leitende Element in elektrischem Kontakt mit dem Halbleitersubstrat.
  • Gemäß einem weiteren Aspekt des Verfahrens ist das leitende Element von dem Halbleitersubstrat isoliert.
  • Gemäß einem weiteren Aspekt des Verfahrens ist ein elektrischer Kontakt zum leitenden Element vorgesehen.
  • Ein weiterer Aspekt des Verfahrens umfaßt weiterhin die Bereitstellung eines Oxidisolators auf Innenflächen der tiefen Arraygrabenisolationen vor der Bereitstellung der zudeckenden Schicht aus leitendem Material.
  • Ein weiterer Aspekt des Verfahrens umfaßt weiterhin das Bereitstellen eines zusätzlichen Oxidisolators auf durch die tiefen Arraygrabenisolationen definierten Innenflächen.
  • Gemäß einem weiteren Aspekt des Verfahrens ist das leitende Element eine Feldabschirmung.
  • Gemäß einem weiteren Aspekt des Verfahrens ist die Feldabschirmung vorgespannt.
  • Bereitgestellt wird ein Verfahren zum gleichzeitigen Bereitstellen von Grabenisolationen für den Arraybereich und den Hilfsbereich eines aus einem Substratmaterial hergestellten Halbleitersubstrats, wobei das Verfahren folgendes umfaßt:
  • Bereitstellen von tiefen Arraygrabenisolationen in den Arraybereichen und Hilfsgrabenisolationen in den Hilfsbereichen, Bereitstellen eines Oxidpfropfens in den tiefen Arraygrabenisolationen, Füllen der Hilfsgrabenisolationen mit Oxid, Bereitstellen einer zudeckenden, planarisierten Schicht eines leitenden Materials sowohl über dem Hilfsbereich als auch dem Arraybereich, ausreichend, um das Array und die tiefen Arraygrabenisolationen zu füllen, Planarisieren der Schicht aus leitendem Material auf eine zur Oxidmaske im wesentlichen gleichen Höhe, Ätzen des leitenden Materials durch das Oxidmaskenmaterial bis hinunter in das Halbleitersubstrat, um eine obere Oberfläche des leitenden Materials in den tiefen Grabenisolationen auf eine Höhe zu bringen, die unter der einen oberen Oberfläche des Oxids in den Hilfsgrabenisolationen liegt, und wobei ein leitendes Element, das eine Menge des leitenden Materials umfaßt, im unteren Teil jedes der tiefen Arraygräben zurückbleibt.
  • Gemäß einem weiteren Aspekt des Verfahrens ist das Substratmaterial Silizium und das leitende Material Polysilizium.
  • Gemäß einem weiteren Aspekt des Verfahrens umfaßt der Arraybereich vertikale Bauelemente.
  • Gemäß einem weiteren Aspekt des Verfahrens werden die Schritte des Bereitstellens eines Oxidpfropfens in den tiefen Arraygrabenisolationen und Füllens der Hilfsgrabenisolationen mit Oxid durch ein Verfahren durchgeführt, das die folgenden Schritte umfaßt: Abscheiden einer konformen Oxidschicht über dem Arraybereich und dem Hilfsbereich mit einer ausreichenden Tiefe zum Füllen der Hilfsgrabenisolationen und Zurückätzen der konformen Oxidschicht in einem Ausmaß, das den Oxidpfropfen bereitstellt.
  • Gemäß einem weiteren Aspekt des Verfahrens ist das leitende Element vom Halbleitersubstrat isoliert.
  • Gemäß einem weiteren Aspekt des Verfahrens wird ein elektrischer Kontakt zum leitenden Element bereitgestellt.
  • Ein weiterer Aspekt des Verfahrens umfaßt weiterhin das Bereitstellen eines Oxidisolators auf Innenflächen der tiefen Grabenisolationen vor dem Bereitstellen der zudeckenden Schicht aus leitendem Material.
  • Ein weiterer Aspekt des Verfahrens umfaßt weiterhin das Bereitstellen eines Oxidisolators auf Innenflächen der tiefen Arraygrabenisolationen vor dem Bereitstellen der konformen Oxidschicht.
  • Gemäß einem weiteren Aspekt des Verfahrens ist das leitende Element eine Feldabschirmung.
  • Gemäß einem weiteren Aspekt des Verfahrens ist die Feldabschirmung vorgespannt.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Fig. 1 zeigt eine Querschnittsansicht einer Arraystruktur und Hilfsausgangsstrukturen.
  • Fig. 2 bis 5 zeigen vorbereitende Schritte für einen ersten Satz von Ausführungsformen der Erfindung, wobei die Bearbeitung von Arraybereichen sich von der von Hilfsbereichen unterscheidet.
  • Fig. 6 bis 13 zeigen die Prozeßschritte einer Ausführungsform der Erfindung zum Herstellen eines leitenden Elements in einem Arraygraben, das in elektrischem Kontakt mit dem umgebenden Substrat steht.
  • Fig. 14 bis 21 zeigen die Prozeßschritte einer Ausführungsform der Erfindung zum Herstellen eines leitenden Elements in einem Arraygraben, das elektrisch vom umgebenden Substrat isoliert ist.
  • Fig. 22 bis 24 zeigen Prozeßschritte zum Ausbilden eine elektrischen Kontakts mit einem leitenden Element, das vom umgebenden Substrat elektrisch isoliert ist.
  • Fig. 25 bis 27 zeigen Prozeßschritte für einen weiteren Satz von Ausführungsformen, wobei ein leitendes Element ungeachtet davon, ob es sich im elektrische Kontakt mit dem umgebenden Substrat befindet oder elektrisch von diesem isoliert ist, von einem schwereren Dielektrikum umgeben ist, um die parasitäre Kapazität zu reduzieren.
  • Fig. 28 zeigt im Querschnitt ein Ausgangssubstrat zur Verwendung mit einer weiteren Ausführungsform der Erfindung.
  • Fig. 29 bis 30 zeigen vorbereitende Schritte für einen zweiten Satz von Ausführungsformen der Erfindung, wobei die Bearbeitung von Arraybereichen sich von der von Hilfsbereichen unterscheidet.
  • Fig. 33 bis 36 zeigen vorbereitende Schritte für den zweiten Satz von Ausführungsformen der Erfindung, wobei die Bearbeitung von Arraybereichen identisch zu der von Hilfsbereichen ist und gleichzeitig durchgeführt wird.
  • Fig. 37 bis 38 zeigen eine erste Ausführungsform des zweiten Satzes von Ausführungsformen der Erfindung, um eine Zielstruktur zu erhalten, wie in Fig. 39 gezeigt.
  • Fig. 39 zeigt die durch den zweiten Satz von Ausführungsformen der Erfindung ausgebildete Zielstruktur.
  • Fig. 40 und 41 zeigen eine zweite Ausführungsform des zweiten Satzes von Ausführungsformen der Erfindung, um die in Fig. 39 gezeigte Zielstruktur zu erhalten.
  • AUSFÜHRLICHE BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORMEN
  • Unter Bezugnahme auf Fig. 1 wird im Querschnitt ein Arraysubstratbereich 1a mit Speicherzellen und einem Hilfsbereich 1b mit Hilfsschaltungen auf einem Halbleitersubstrat 1 eines Halbleiterspeichers, wie etwa eines PROM, DRAM, EPROM, EDRAM und dergleichen gezeigt. Die Figuren zeigen ein typisches frühes Herstellungsstadium, bei dem sowohl die Array- als auch die Hilfsbereiche identisch sind. In der Regel wird der Chip durch Aufwachsen einer Schicht aus Padoxid 11 auf einem Siliziumsubstrat 10 hergestellt. Auf der Padoxidschicht 11 werden ein Padnitrid 12, eine erste Oxidhartmaske 13 (z. B. TEOS oder LPTEOS) und eine zweite Oxidhartmaske 14 (z. B. ein Borsilikatglas oder BSG) abgeschieden.
  • Unter Bezugnahme auf Fig. 2 werden, üblicherweise durch Lithographie und reaktives Ionenplasmaätzen (RIE), Array- und Hilfsmaskenöffnungen 15a und 15b in die Array- und Hilfsbereiche 1a, 1b geätzt. Diese Maskenöffnungen definieren die Orte und Abmessungen der Isolationsgräben, die in späteren Schritten in das Substrat unter der Padnitridschicht geätzt werden. Zu im Handel erhältlichen RIE-Systemen zum Einsatz bei der Erfindung zählen unter anderem diejenigen, die von Applied Materials unter der Markenbezeichnung "Etch Centura" vertrieben werden. Bei diesen Systemen werden eine Glühentladung und Elektroden verwendet, um die Vorzüge des Sputterns mit denen des verbesserten Pläsmaätzens zu kombinieren und um stark anisotrope Ätzungen zu erzeugen. Die Ätzungen dringen bevorzugt in das Padoxid 11 bis hinunter auf das Substrat 10 ein.
  • Unter Bezugnahme auf Fig. 3 wird ein MUV(mittelultraviolett)-Photoresist 16 strukturiert, um den Hilfsbereich 1b als Blockmaske zu schützen.
  • Unter Bezugnahme auf Fig. 4 werden die Arrayisolationsgräben 15a' durch RIE tief durch die Padoxidschicht 11 in das Siliziumsubstrat 10 geätzt. Die Tiefe dieser Gräben schwankt je nach Bedarf, wird aber in der Regel zwischen 400 Nanometern und 1000 Nanometern tief unter der Siliziumoberfläche sein, etwa das Doppelte bis Fünffache der Tiefe der Hilfsgräben 15b' (in dieser Figur nicht gezeigt). Man beachte, daß die tiefe Ätzung wegen der Erosion des maskierenden Materials durch das RIE eine Kantenabschrägung 17 der zweiten Oxidschicht 14 hervorrufen wird.
  • Unter Bezugnahme auf Fig. 5 wird der Photoresist entfernt, wodurch man eine Struktur erhält, bei der nur tiefe Arraygräben bereitgestellt sind. Organische Polymere können durch fast jeden Plasmaprozeß geätzt werden, der hohe Konzentrationen an Sauerstoffgas enthält. Sauerstoffplasmen sind relativ zu Polysilizium-, Siliziumoxid- und Aluminiumstrukturen besonders selektiv und beschädigen deshalb diese Strukturen nicht. Wenn fluorhaltige Gase, wie etwa CF4 oder CHF3, CH2F2 oder CH3F, zugesetzt werden, erhöht dies die Ätzrate wesentlich, bewirkt aber auch, daß etwaige vorhandene Siliziumnitridstrukturen geätzt werden.
  • Die bezüglich Fig. 3 bis 5 beschriebenen Prozeßschritte sind die einzigen Schritte der Erfindung, die zwischen dem Arraybereich und dem Hilfsbereich abweichen, mit der Ausnahme, daß bei einer Ausführungsform, bei der ein Feldabschirmungselement in dem tiefen Graben eingebracht wird, am Ende des Prozesses ein Leiter daran anzuschließen ist. Abgesehen von dieser Ausnahme werden die übrigen Prozeßschritte sowohl an den Array- als auch den Hilfsgräben 15a', 15b' durchgeführt, und zwar gleichzeitig sowohl durch die Array- als auch die Hilfsmaskenöffnungen 15a, 15b.
  • Unter Bezugnahme auf Fig. 6 wird die zweite Oxidmaske 14 abgelöst. Dies kann, bevorzugt unter Erwärmung, mit einer Gasphasen-HF-Ätzung erfolgen. Der Ablöseprozeß führt je nach der Selektivität der Ätzung relativ zum zweiten Oxid 13 üblicherweise zu einer gewissen Erosion der ersten Oxidhartmaske 14, was zu einer gewissen Absatzbildung 18 an dem darunterliegenden Padnitrid 12 und einer Kantenabschrägung 19 der ersten Hartmaske führt.
  • Unter Bezugnahme auf Fig. 7 wird in den Arraygräben 15a' ein erster Arraygrabenliner 20a aufgewachsen oder auf andere Weise konform abgeschieden, und am Boden der Hilfsmaskenöffnung 15b wird ein erster Hilfsgrabenliner 20b aufgewachsen oder auf andere Weise abgeschieden. Damit ein Siliziumoxidliner ausgebildet wird, wird, falls das Aufwachsen durch Hitzeoxidation des Siliziums erzielt wird, erreicht, daß sich die ersten Arraygrabenliner nur auf dem Siliziumsubstrat 10 abscheiden.
  • An diesem Punkt kann das Verfahren der Erfindung nach einer beliebigen von vier Ausführungsformen ablaufen.
  • Unter Bezugnahme auf Fig. 8 wird eine Ausführungsform der Erfindung beschrieben, die tiefe Gräben mit Kontakt vom Boden bereitstellt. Dies wird erzielt, indem etwa durch reaktives Ionenätzen (RIE) das Lineroxid 20a vom Boden des Arraygrabens 15a' aus geätzt wird. Natürlich wird auch das Lineroxid 20b am Boden der Hilfsmaskenöffnung 15b entfernt. Durch Öffnen des Bodens der tiefen Gräben 15a' wird im Graben abgeschiedenes leitendes Material zum Substrat 10 kurzgeschlossen.
  • Unter Bezugnahme auf Fig. 9 wird eine zudeckende, planarisierte Schicht 21' aus leitendem Material über dem Wafer 1 abgeschieden, wodurch die Gräben und Maskenöffnungen sowohl der Hilfsbereiche als auch der Arraybereiche gefüllt werden. Allgemein wird es sich aus den Gründen, die bezüglich Fig. 10 unten dargelegt sind, bei diesem Material um Silizium oder Polysilizium handeln. Silizium- oder Poiysiliziumfilme können beispielsweise durch Epitaxie- oder chemische Niederdruckgasphasenabscheidung (LPCVD) abgeschieden werden.
  • Üblicherweise verwendete Precursor (Vorläufer) für epitaktisches Polysiliziumaufwachsen umfassen Siliziumtretrachlorid (SiCl4), Trichlorsilan (SiHCl3), Dichlorsilan (SiH2Cl2), Monosilan (SiH4) und Disilan (Si2H6), wobei die letzten drei bevorzugt werden, um dünnere Schichten bei niedrigeren Abscheidungstemperaturen als Siliziumtetrachlorid herzustellen.
  • Allgemein werden die Precursor mit dem Halbleiterwafer in eine Reaktionskammer eingeführt, wobei sie von einem großen Überschuß Wasserstoffgas begleitet werden, der sowohl als ein reduzierendes Mittel als auch als ein Verdünnungsmittel für den Precursor wirkt. Die Rate des epitaktischen Aufwachsens variiert positiv mit der Temperatur, dem Druck und der Reaktionsmittelkonzentration, obwohl sich allgemein verringerte Anstiege der Reaktionsrate mit der Temperatur bei Temperaturen um oder über etwa 1000°C zu zeigen beginnen. Bei gleichen Reaktionsbedingungen hängt die Aufwachsrate vom eingesetzten Precursor ab, und zwar in der Reihenfolge vom schnellsten zum langsamsten: Si2H6 > SiH4 > SiH2Cl2 > SiHCl3 > SiCl4.
  • Es ist jedoch oftmals wünschenswert, die Oberfläche, auf der das Polysilizium aufgewachsen wird, vor der Epitaxie vorzubereiten. Solche Reinigungsprozeduren können eine sogenannte "Naßreinigung" beinhalten, die ein Schwefelsäure- und Peroxidbad umfaßt, gefolgt von einem Spülen mit Wasser, gefolgt von einem Ammoniumhydroxidbad, gefolgt von einem weiteren Spülen mit Wasser, gefolgt von einem Eintauchen in HF, gefolgt von einem schnellen Spülen mit Wasser, gefolgt von einem Salzsäure- und Peroxidbad, gefolgt von einem Spülen mit Wasser und dann getrocknet in einer inerten Atmosphäre, um eine Neuoxidierung der Siliziumoberflächen zu vermeiden. Auf die Naßreinigung kann noch ein weiteres Eintauchen in HF folgen, was die Oxidation weiter bis zu einer halben Stunde verzögert. Alternativ kann eine HCl-Ätzung bei hoher Temperatur in Anwesenheit von Wasserstoffgas verwendet werden.
  • Ein weiteres Verfahren zur Vorbereitung des Wafers auf die Epitaxie besteht darin, den Wafer in einer Wasserstoffatmosphäre auf etwa 850°C bis 900°C zu härten.
  • Die chemische Niederdruckgasphasenabscheidung LPCVD von Polysilizium wird allgemein bei Temperaturen zwischen 550°C und 700°C, üblicherweise bei etwa 625°C, und bei Drücken zwischen 0,25 und 2,0 Torr, bevorzugt in einem Heißwandofen oder vertikalen Ofen oder einem Einwaferpolysiliziumreaktor ausgeführt. Das Polysilizium kann durch die Pyrolyse von Silan (SiH4) abgeschieden werden, wobei Wasserstoffgas freigesetzt wird. Die Reaktion kann in einer Atmosphäre aus reinem Silangas ausgeführt werden, oder das Silan kann mit einem inerten Trägergas, wie etwa Stickstoff oder Argon verdünnt werden. Das Silan kann aber auch in Wasserstoffgas verdünnt werden. Verdünnte Reaktionsgase verwenden in der Regel etwa 25% Silan.
  • Um die Verarmung an Reaktionspartnern längs der Länge des Rohrs zu überwinden, werden außerdem bevorzugt Reaktoren mit verteilter Beschickung, wie sie in herkömmlichen LPCVD- Rohrreaktorsystemen eingesetzt werden, verwendet, um die Notwendigkeit für ein Hochfahren der Reaktionstemperatur zu vermeiden. Eine gleichförmige Reaktionstemperatur ist erwünscht, da bei Temperaturen unter 750°C die Reaktionsgeschwindigkeit der LPCVD-Polysiliziumabscheidung exponentiell von der Temperatur abhängt.
  • Die Struktur von mit LPCVD-Techniken abgeschiedenem Polysilizium hängt allgemein von der Reaktionstemperatur ab. Bei Temperaturen unter etwa 580°C abgeschiedene Filme sind allgemein amorph, während jene, die über dieser Temperatur abgeschieden werden, polykristallin sind.
  • Unter Bezugnahme auf Fig. 10 wird die Füllung 21' aus leitendem Material abgeätzt, bis alles Material der Füllung 21' aus leitendem Material von den Hilfsmaskenöffnungen entfernt ist und die Ätzung bis zu einer gewünschten Tiefe in das Substrat 10 eindringt, wodurch Arraygrabenisolationen 15a' bereitgestellt werden. Das Ergebnis ist, daß sich die Array- und Hilfsgräben 15a', 15b' nunmehr auf einer identischen Tiefe befinden, während ein leitendes Element 21 im unteren Teil der tiefen Gräben installiert ist. Dies ist deshalb wünschenswert, weil identische Tiefen spätere Planarisierungsschritte erleichtern, da man aus späteren Abscheidungsschritten eine günstige Topographie erhält. Um die Erzielung gleicher Tiefen sicherzustellen, werden die Füllung 21' aus leitendem Material und das Substrat 10 aus Materialien gewählt, die unter identischen Ätzbedingungen mit im wesentlichen der gleichen Rate geätzt werden. Das einfachste Mittel, um dies zu erzielen, besteht darin, für beide das gleiche Material zu verwenden, doch reicht es aus, wenn eines Silizium und das andere Polysilizium ist, da diese beiden Siliziumformen mit fast identischen Geschwindigkeiten geätzt werden. Bei der Ätzung kann es sich um eine reaktive Ionenätzung handeln, beispielsweise eine geeignete Plasmaätzung, wie etwa in einer Umgebung aus Methyltrifluorid (CHF3). Die Ätzung beginnt bevorzugt anisotrop und endet isotrop, um zu vermeiden, daß irgendwelches Siliziumsubstrat beschädigt wird, das möglicherweise unmittelbar über dem Oxidliner 20a freiliegen könnte.
  • Unter Bezugnahme auf Fig. 11 wird die erste Oxidhartmaske 13 entfernt, was bewirkt, daß die freiliegenden Teile des Lineroxids 20a abgelöst werden. Das Entfernen des Oxids kann mit einem Bad aus nasser Flußsäure (HF) oder einer Trockenplasma- HF-Ätzung erfolgen.
  • Unter Bezugnahme auf Fig. 12 werden die Gräben wieder oxidiert, um das Arraygrabenlineroxid 20a wiederherzustellen und ein Hilfslineroxid 20b einzurichten.
  • Unter Bezugnahme auf Fig. 13 werden bei dem letzten Schritt dieser Ausführungsform etwa durch HDP-Abscheidung die Gräben mit einer planarisierten Schicht aus Siliziumoxid 22 gefüllt. Wie man sehen kann, sind die Oxidschichten 22 sowohl des Arraygrabens als auch des Hilfsgrabens gleich tief, und der Arraygraben ist nun mit einem leitenden Element 21 ausgestattet, das in elektrischem Kontakt mit dem Substrat 10 steht und als Feldabschirmung für vertikale Bauelemente verwendet werden kann.
  • HDP-CVD-Siliziumoxidfilme können allgemein gebildet werden, indem unter Anwesenheit von elektromagnetischer Strahlung und einem inerten Gas, wie etwa Argon (Ar) oder Helium (He), Silangas (SiH4) mit Sauerstoff (O2) umgesetzt wird. Der Film kann dann durch chemisch-mechanisches Polieren (CMP) planarisiert werden.
  • Allgemein wird der Reaktionsdruck recht gering sein, allgemein unter zehn mTorr, und wird üblicherweise in einer Sputterumgebung eines Magnetrons durchgeführt. Bei diesen Bedingungen beginnt der abgeschiedene Film, alle Oberflächen auf dem Wafer konform zu bedecken, einschließlich die Seitenwände und Böden der Kontaktlöcher und Gräben. Bei normalen CVD- Prozessen würde dies einen Überhang an den Rändern der Gräben und Löcher verursachen, der die Oberseite schließlich verschließen würde und darin einen Hohlraum zurücklassen würde. Bei der HDP-Abscheidung bewirkt jedoch die Anregung der inerten Gase und Reaktionspartner in einem Hochenergieplasma, daß das abgeschiedene Material sogar dann, wenn es abgeschieden wird, ständig weggesputtert wird, mit dem Ergebnis, daß sich das abgeschiedene Material wie ein Fluid verhält und sich, anstatt auf konforme Weise, auf planarisierte Weise in den Gräben und Löchern ablagert und dadurch die Ausbildung etwaiger Hohlräume vermieden wird.
  • Bei HDP-CVD-Reaktoren wird üblicherweise zur Erzeugung von Ionen, die so stark sind, daß sie in dem abgeschiedenen Material ein Sputtern verursachen, eine Glühentladung eingesetzt. Glühentladungen sind ein sich selbst erhaltendes Plasma, das durch ein System vom DC-Diodentyp und/oder ein HF- Diodensystem erzeugt wird. Ein inertes Gas wie etwa Argon wird zwischen ein Elektrodenpaar eingeleitet, und zwar mit einem elektrischen Feld, das stark genug ist, daß der Reaktionspartner und inerte Gase zu einem Plasma ionisiert werden. HF-Diodensysteme werden bevorzugt, weil sie bei erheblich geringeren Drücken arbeiten und höhere Abscheidungsraten liefern können als DC-Diodensysteme. Ein bevorzugtes HF- Diodensystem ist mit einer Magnetronquelle ausgestattet, um das Beschränken von Elektronen in der Nähe der Waferoberfläche zu unterstützen.
  • Bei einer zweiten Ausführungsform wird die leitende Komponente vom umgebenden Substrat isoliert und kann von der Oberseite kontaktiert werden.
  • Unter Bezugnahme auf Fig. 14 wird die Struktur von Fig. 7 mit einer zudeckenden, planarisierten Schicht aus leitendem Material 21', bevorzugt Polysilizium, bedeckt, die alle Maskenöffnungen und Gräben der Hilfsbereiche und Arraybereiche ausfüllt.
  • Unter Bezugnahme auf Fig. 15 kann die Füllung 21' aus leitendem Material wahlweise bis zur Höhe der ersten Hartmaske 13 planarisiert werden, damit man für die folgenden Ätzschritte eine ausgezeichnete Ausgangsoberfläche erhält. Man beachte, daß dieser Schritt wahlweise auch nach der bezüglich Fig. 9 beschriebenen Füllung und vor der bezüglich 10 beschriebenen Ätzoperation hätte verwendet werden können.
  • Unter Bezugnahme auf Fig. 16 wird dann die Füllung 21' aus leitendem Material weggeätzt, bis von den Hilfsmaskenöffnungen 15b das ganze leitende Material entfernt worden ist.
  • Unter Bezugnahme auf Fig. 17 werden die Hilfsliner 20b mit einem Oxid-RIE weggeätzt. Die Arrayliner 20a werden größtenteils durch die Füllung 21' aus leitendem Material geschützt und werden nur geringfügig ausgenommen.
  • Unter Bezugnahme auf Fig. 18 wird die Füllung 21' aus leitendem Material bis zu einer gewünschten Tiefe weggeätzt. Die Ätzung ätzt gleichzeitig das Polysiliziumsubstrat 10 durch die Hilfsmaskenöffnungen 15b, um Hilfsisolationsgräben 15b' zu erzeugen, so daß sowohl der Arraygraben 15a' als auch der Hilfsgraben 15b' gleiche Tiefe aufweisen. Dadurch bleibt im unteren Teil des Arraygrabens ein Teil des leitenden Materials zurück, der als ein leitendes Element 21 dient, das durch den Oxidliner 20a elektrisch vom umgebenden Substrat 10 isoliert ist. Bei dieser Ätzung handelt es sich in der Regel wieder um ein RIE.
  • Unter Bezugnahme auf die Fig. 19 bis 21 wird die Hartmaske 13 etwa durch eine HF-Ätzung entfernt und die Gräben werden auf die gleiche Weise, wie bezüglich Fig. 11 bis 13 beschrieben, wieder mit Oxid ausgekleidet, wodurch man die in Fig. 21 gezeigte Struktur erhält.
  • Unter Bezugnahme auf Fig. 22 wird ein Verfahren gezeigt, durch das man elektrisch auf das leitende Element 21 in den Gräben zugreifen kann. Zunächst wird die planarisierte Oxidfüllung 22 durch eine Maske durch das Arraylinermaterial 20a bis hinunter zum leitenden Element 21 geätzt.
  • Bei einer RIE-Ätzung von Siliziumoxid wird allgemein, um eine Glühentladung zu erzeugen, eine CF4-O2- oder CF4-H2-Gasgemisch (Gemisch aus CF4 und O2 oder ein Gemisch aus CF4 und H2) in Anwesenheit einer HF-Frequenz verwendet. Indem in dem Gasstrom für CF4-O2-Gasgemisch über 20 Vol.-%, bevorzugt mindestens 40 Vol.-%, Sauerstoff verwendet wird, können die Reaktionsraten bezüglich Polysilizium selektiv werden. CF4-H2- Ströme sind immer für Siliziumoxide bezüglich Polysilizium selektiv, enthalten aber bevorzugt mindestens 10% Wasserstoffgas, besonders bevorzugt mindestens etwa 40% Wasserstoff, ganz besonders bevorzugt mindestens etwa 50% Wasserstoffgas. Typische Reaktionsdrücke können im Bereich von etwa 7 bis etwa 6000 mTorr liegen, haben aber ihren Mittelpunkt allgemein bei etwa 25 mTorr.
  • Unter Bezugnahme auf Fig. 23 wird eine leitende Schicht 23 wie etwa Polysilizium zur Herstellung eines elektrischen Kontakts mit dem leitenden Element 21 abgeschieden.
  • Unter Bezugnahme auf Fig. 24 kann die leitende Schicht 23 bis hinunter zum Padnitrid 12 planarisiert werden. Wie man sehen kann, existiert nun ein durch ein Dielektrikum 20a vom Substrat 10 getrenntes leitendes Element 21' auf das zugegriffen werden kann. Das leitende Element 21 kann nun gegebenenfalls durch Vorspannen als eine Feldabschirmung für benachbarte vertikale Bauelemente dienen. Die Planarisierung erfolgt in der Regel durch chemisch-mechanisches Polieren (CMP).
  • Unter Bezugnahme auf die Fig. 25 und 27 wird eine dritte Ausführungsform der Erfindung gezeigt, bei der die Struktur von Fig. 7 vollständig mit einer Siliziumoxidabscheidung bedeckt, um zweite Arrayoxidliner 24a und zweite Hilfsoxidliner 24b auszubilden. Ab diesem Punkt läuft der Prozeß wie bei den Fig. 14 bis 21 weiter, außer daß das Endprodukt ein schwereres das leitende Element 21 umgebendes Dielektrikum aufweist, wodurch die parasitäre Kapazität reduziert wird, wie in Fig. 27 gezeigt.
  • Unter Bezugnahme auf die Fig. 26 und 27 kann bei einer vierten Ausführungsform der Erfindung der Boden der zweiten Oxidliner durch eine Oxid-RIE herausgeätzt werden, und dann werden die Prozeßschritte wie bei den Fig. 9 bis 13 beschrieben ausgeführt, wodurch das leitende Element 21 zum Substrat 10 kurzgeschlossen wird. Das Ergebnis entspricht dem von Fig. 27, außer daß das leitende Element im elektrischen Kontakt mit dem Substrat 10 steht.
  • Bei einem weiteren Satz von Ausführungsformen der Erfindung wird die Siliziumoxidfüllung unter dem leitenden Element positioniert, damit dessen vertikale Positionierung gesteuert werden kann.
  • Unter Bezugnahme auf Fig. 28 wird wieder im Querschnitt ein Arraysubstratbereich 1a mit Speicherzellen und ein Hilfsbereich 1b mit Hilfsschaltungen auf einem Halbleitersubstrat 1 eines Halbleiterspeichers, wie etwa PROM, DRAM, EPROM, EDRAM und dergleichen, gezeigt. Die Figuren zeigen eine typische Ausgangsstruktur. In der Regel wird der Chip vorbereitet, indem auf einem Siliziumsubstrat 10 eine Schicht aus Padoxid 11 aufgewachsen wird. Auf der Padoxidschicht 11 wird ein Padnitrid 12 und eine erste Oxidhartmaske 13 abgeschieden. Man beachte, daß sich diese Struktur von der von Fig. 1 dadurch unterscheidet, daß keine zweite Hartmaske vorgesehen ist.
  • Unter Bezugnahme auf Fig. 29 werden die Arraygräben 15a' und Hilfsgräben 15b', wie durch die Maskenöffnungen 15a, 15b definiert, in das Substrat eingeätzt.
  • Unter Bezugnahme auf Fig. 30 werden die Hilfsgräben mit einer Photoresistmaske 16 geschützt und die Arraygräben 15a' weiter in das Substrat 10 geätzt. Dies ist der letzte Vorgang, der zwischen dem Array- und Hilfsgräben unterschiedlich ist, bis gemäß dem unter Bezugnahme auf die Fig. 22 bis 24 beschriebenen Verfahren ein Kontakt bereitgestellt wird.
  • Unter Bezugnahme auf Fig. 31 werden die Photoresistmaske 16 und das Maskenoxid 13 abgelöst und die Gräben 15a', 15b' mit Oxidlinern 20a, 20b versehen.
  • Unter Bezugnahme auf Fig. 32 wird eine Oxidfüllung 22 abgeschieden. Dies kann mit einem HDP-CVD-Prozeß (high-density plasma CVD) erfolgen.
  • Unter Bezugnahme auf Fig. 33 wird die Oxidfüllung 22 genügend zurückgeätzt, daß die Hilfsgräben 15b auf die Höhe der Padnitridschicht 12 planarisiert und die Innenwände der Arraygräben 15a von Oxid befreit werden, wobei am Boden davon ein Oxidpfropfen (Oxidplug) 22' zurückbleibt. Dies kann mit einer Naßätzung erfolgen.
  • Unter Bezugnahme auf Fig. 34 bis 36 werden die Arraygräben 15a' wieder mit Oxid 20a ausgekleidet und auf dem ganzen Wafer eine zudeckende Schicht aus leitendem Material 21' abgeschieden, das dann bis auf die Höhe der Oxidfüllung 22 herunterplanarisiert wird.
  • Ausgehend von der in Fig. 36 gezeigten Struktur existieren zwei Verfahren, mit denen die gewünschte Endstruktur erhalten werden kann.
  • Die Fig. 37 bis 39 zeigen ein Verfahren, bei dem die Oxidfüllung 22 und die Füllung 21' aus leitendem Material bis herunter auf das Padnitrid 12 planarisiert werden. Dadurch bleibt nur in den Arraygräben leitendes Material zurück. Eine Ätzung, die für das leitende Material bezüglich Oxid und Nitrid selektiv ist, wird vorgenommen, um das leitende Material 21' in die Arraygräben zu vertiefen und um dadurch das leitende Element 21' zu erzeugen, gefolgt von der Abscheidung und Planarisierung einer zweiten Oxidschicht 22b auf die Höhe der Padnitridschicht 12.
  • Wie man in der Struktur von Fig. 39 sehen kann, ist das resultierende leitende Element 21 nun durch den darunterliegenden Oxidpfropfen 22' auf eine höhere Position angehoben. Dadurch kann die vertikale Versetzung des leitenden Elements gesteuert werden. Dies ist nützlich, wenn das leitende Element als eine Feldabschirmung verwendet werden soll und eine präzise Plazierung relativ zu benachbarten vertikalen Bauelementen gewünscht wird.
  • Wie bei der anderen Ausführungsform der Erfindung kann durch das unter Bezugnahme auf die Fig. 22 bis 24 beschriebene Verfahren ein Kontakt zum leitenden Element bereitgestellt werden.
  • Unter Bezugnahme auf die Fig. 40 und 41 wird ein weiteres Verfahren gezeigt, um von der Struktur von Fig. 36 zu der Zielstruktur von Fig. 39 zu gelangen. Bei diesen Verfahren wird die Füllung 21' aus leitendem Material zunächst zurückgeätzt, um das leitende Element 21 zu erzeugen, gefolgt von der Abscheidung der zweiten Oxidschicht 22b, die dann bis auf die Höhe der Padnitridschicht 12 herunterplanarisiert wird, um die Struktur von Fig. 39 zu erzeugen. Der Vorteil dieser Ausführungsform besteht darin, daß die Planarisierung mit einem Oxid-CMP erfolgen kann, im Gegensatz zu der Ausführungsform der Fig. 37 bis 39, die ein Oxid-/Polysilizium-CMP erfordern würden.
  • Wie man sehen kann, sind zwei Sätze von Ausführungsformen der Erfindung beschrieben worden. Bei beiden Sätzen von Ausführungsformen wird eine leitende Füllung verwendet, um das gleichzeitige Ätzen von Hilfs- und Arraygräben auf eine steuerbare Tiefe zu steuern.
  • Bei dem ersten Satz von Ausführungsformen (Fig. 1 bis 21) wird die leitende Füllung so gewählt, daß sie im wesentlichen eine identische Ätzrate, wie das Halbleitersubstrat, aufweist, so daß sich die Oberseite des leitenden Elements in den Arraygräben im wesentlichen auf dergleichen Tiefe wie der Boden der Hilfsgräben befand (Fig. 10, 18). Dies gestattet eine nachfolgende Oxidabscheidung in alle Gräben, um über die ganze Oberfläche des Wafers hinweg einheitlicher zu planarisieren. Somit weisen nachfolgende Abscheidungen für zusätzliche Strukturen ein einheitliches Fundament auf, was zu einer besser vorhersagbaren Waferherstellung und zu weniger Defekten führt.
  • Bei dem zweiten Satz von Ausführungsformen (Fig. 28 bis 41) braucht die leitende Füllung keine im wesentlichen identische Ätzrate mit dem Halbleitersubstrat aufzuweisen, da das Substrat nicht gleichzeitig mit der Füllung geätzt wird. Stattdessen braucht die Füllung nur selektiv zur Ätzung bezüglich der Oxidschicht 22 zu sein. Die Ätzung wird somit gesteuert, indem die Füllung als eine Gelegenheit verwendet wird, um die Füllung 21' über den ganzen Wafer hinweg auf die gleiche Schicht wie das Oxid 22 zu planarisieren (Fig. 36). Dadurch kann die Höhe des leitenden Elements gesteuert werden, ohne die Oxidfüllung in den Hilfsgräben zu beeinflussen, da die Oxidfüllung nicht durch die Ätzung des leitenden Materials beeinflußt wird.
  • Bei beiden Sätzen von Ausführungsformen gestattet die Verwendung einer Füllung aus leitendem Material über den ganzen Wafer hinweg die gleichzeitige Bearbeitung sowohl der Array- als auch der Hilfsbereiche, die dennoch zu verschiedenen Strukturen für jeden Bereich führt. Nach der Ausbildung von Grabenisolationen mit Ausnahme der Ausbildung des oberen Kontakts für die Feldabschirmungen geht die CMOS- und die DRAM- Bearbeitung normal weiter, wie in der Technik bekannt ist.
  • Es versteht sich, daß alle hier offenbarten physikalischen Größen, es sei denn, es wird explizit etwas anderes angegeben, nicht als exakt gleich der offenbarten Größe ausgelegt werden sollen, sondern vielmehr als etwa gleich der offenbarten Größe. Weiterhin soll die bloße Abwesenheit eines Ausdrucks des Grades, wie etwa "ungefähr" oder dergleichen, nicht als eine explizite Angabe gedeutet werden, daß eine derartige offenbarte physikalische Größe eine exakte Größe ist, unabhängig davon, ob derartige Ausdrücke des Grades bezüglich etwaiger anderer hier offenbarter physikalischer Größen verwendet werden.
  • Wenngleich bevorzugte Ausführungsformen gezeigt und beschrieben worden sind, können daran zahlreiche Modifikationen und Substitutionen vorgenommen werden, ohne vom Gedanken und Umfang der Erfindung abzuweichen. Es ist dementsprechend zu verstehen, daß die vorliegende Erfindung nur im Wege einer Veranschaulichung beschrieben worden ist und derartige Veranschaulichungen und Ausführungsformen, wie sie hier offenbart sind, nicht als Einschränkungen der Ansprüche ausgelegt werden sollen.

Claims (20)

1. Verfahren zum gleichzeitigen Bereitstellen von Grabenisolationen für den Arraybereich und den Hilfsbereich eines aus einem Substratmaterial hergestellten Halbleitersubstrats, wobei das Verfahren folgendes umfaßt:
Bereitstellen einer ersten Hartmaskenschicht für den Arraybereich und den Hilfsbereich, wobei die erste Hartmaske Maskenöffnungen umfaßt, die Grabenisolationen im Arraybereich und im Hilfsbereich definieren;
Bereitstellen von tiefen Arraygrabenisolationen in den Arraybereichen;
Bereitstellen einer zudeckenden, planarisierten Schicht eines leitenden Materials sowohl über dem Hilfsbereich als auch dem Arraybereich, ausreichend, um die Maskenöffnungen und die tiefen Arraygrabenisolationen zu füllen;
Ätzen des leitenden Materials durch das erste Hartmaskenmaterial bis hinunter in das Halbleitersubstrat, um Hilfsgrabenisolationen zu bilden, so daß sowohl tiefe Arraygrabenisolationen als auch Hilfsgrabenisolationen gleiche Tiefe aufweisen; und
wobei ein leitendes Element, das eine Menge des leitenden Materials umfaßt, im unteren Teil jedes der tiefen Arraygräben zurückbleibt.
2. Verfahren nach Anspruch 1, wobei das Substratmaterial Silizium und das leitende Material Polysilizium ist.
3. Verfahren nach Anspruch 1 oder 2, wobei der Arraybereich vertikale Bauelemente umfaßt.
4. Verfahren nach einem der Ansprüche 1 bis 3, wobei das leitende Element in elektrischem Kontakt mit dem Halbleitersubstrat steht.
5. Verfahren nach einem der Ansprüche 1 bis 3, wobei das leitende Element vom Halbleitersubstrat isoliert ist.
6. Verfahren nach Anspruch 5, wobei ein elektrischer Kontakt zum leitenden Element vorgesehen ist.
7. Verfahren nach einem der Ansprüche 1 bis 6, weiterhin umfassend die Bereitstellung eines Oxidisolators auf Innenflächen der tiefen Arraygrabenisolationen vor der Bereitstellung der zudeckenden Schicht aus leitendem Material.
8. Verfahren nach Anspruch 7, weiterhin umfassend die Bereitstellung eines zusätzliche Oxidisolators auf von den tiefen Arraygrabenisolationen definierten Innenflächen.
9. Verfahren nach einem der Ansprüche 1 bis 8, wobei das leitende Element eine Feldabschirmung ist.
10. Verfahren nach Anspruch 9, wobei die Feldabschirmung vorgespannt ist.
11. Verfahren zum gleichzeitigen Bereitstellen von Grabenisolationen für den Arraybereich und den Hilfsbereich eines aus einem Substratmaterial hergestellten Halbleitersubstrats, wobei das Verfahren folgendes umfaßt:
Bereitstellen von tiefen Arraygrabenisolationen in den Arraybereichen und Hilfsgrabenisolationen in den Hilfsbereichen;
Bereitstellen eines Oxidpfropfens in den tiefen Arraygrabenisolationen;
Füllen der Hilfsgrabenisolationen mit Oxid;
Bereitstellen einer zudeckenden, planarisierten Schicht eines leitenden Materials sowohl über dem Hilfsbereich als auch dem Arraybereich, ausreichend, um das Array und die tiefen Arraygrabenisolationen zu füllen;
Planarisieren der Schicht aus leitendem Material auf eine zur Oxidmaske im wesentlichen gleichen Höhe;
Ätzen des leitenden Materials durch das Oxidmaskenmaterial bis hinunter in das Halbleitersubstrat, um eine obere Oberfläche des leitenden Materials in den tiefen Grabenisolationen auf eine Höhe zu bringen, die unter der einen oberen Oberfläche des Oxids in den Hilfsgrabenisolationen liegt; und
wobei ein leitendes Element, das eine Menge des leitenden Materials umfaßt, im unteren Teil jedes der tiefen Arraygräben zurückbleibt.
12. Verfahren nach Anspruch 11, wobei das Substratmaterial Silizium und das leitende Material Polysilizium ist.
13. Verfahren nach Anspruch 11 oder 12, wobei der Arraybereich vertikale Bauelemente umfaßt.
14. Verfahren nach einem der Ansprüche 11 bis 13, wobei die Schritte des Bereitstellens eines Oxidpfropfens in den tiefen Arraygrabenisolationen und Füllens der Hilfsgrabenisolationen mit Oxid durch ein Verfahren durchgeführt wird, das die Schritte umfaßt:
Abscheiden einer konformen Oxidschicht über dem Arraybereich und dem Hilfsbereich mit einer ausreichenden Tiefe zum Füllen der Hilfsgrabenisolationen; und
Zurückätzen der konformen Oxidschicht in einem Ausmaß, das den Oxidpfropfen bereitstellt.
15. Verfahren nach einem der Ansprüche 11 bis 14, wobei das leitende Element vom Halbleitersubstrat isoliert ist.
16. Verfahren nach Anspruch 15, wobei ein elektrischer Kontakt zum leitenden Element bereitgestellt wird.
17. Verfahren nach einem der Ansprüche 11 bis 16, weiterhin umfassend die Bereitstellung eines Oxidisolators auf Innenflächen der tiefen Arraygrabenisolationen vor der Bereitstellung der zudeckenden Schicht aus leitendem Material.
18. Verfahren Anspruch 14, weiterhin umfassend die Bereitstellung eines Oxidisolators auf Innenflächen der tiefen Arraygrabenisolationen vor dem Bereitstellen der konformen Oxidschicht.
19. Verfahren nach einem der Ansprüche 11 bis 18, wobei das leitende Element eine Feldabschirmung ist.
20. Verfahren nach Anspruch 19, wobei die Feldabschirmung vorgespannt ist.
DE10307822A 2002-02-26 2003-02-24 Grabenisolationsprozesse unter Verwendung einer Polysilizium-unterstützten Füllung Expired - Fee Related DE10307822B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/083,744 US6566228B1 (en) 2002-02-26 2002-02-26 Trench isolation processes using polysilicon-assisted fill
US10/083,744 2002-02-26

Publications (2)

Publication Number Publication Date
DE10307822A1 true DE10307822A1 (de) 2003-11-06
DE10307822B4 DE10307822B4 (de) 2005-08-18

Family

ID=22180409

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10307822A Expired - Fee Related DE10307822B4 (de) 2002-02-26 2003-02-24 Grabenisolationsprozesse unter Verwendung einer Polysilizium-unterstützten Füllung

Country Status (2)

Country Link
US (1) US6566228B1 (de)
DE (1) DE10307822B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005020075A1 (de) * 2005-04-29 2006-11-09 Infineon Technologies Ag Verfahren zum Herstellen einer Grabenstrukturhalbleitereinrichtung

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9915589D0 (en) * 1999-07-02 1999-09-01 Smithkline Beecham Plc Novel compounds
US7018907B2 (en) * 2002-12-30 2006-03-28 Dongbuanam Semiconductor, Inc. Methods for forming shallow trench isolation structures
US7238588B2 (en) * 2003-01-14 2007-07-03 Advanced Micro Devices, Inc. Silicon buffered shallow trench isolation
US6962857B1 (en) 2003-02-05 2005-11-08 Advanced Micro Devices, Inc. Shallow trench isolation process using oxide deposition and anneal
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US7648886B2 (en) * 2003-01-14 2010-01-19 Globalfoundries Inc. Shallow trench isolation process
US6673696B1 (en) * 2003-01-14 2004-01-06 Advanced Micro Devices, Inc. Post trench fill oxidation process for strained silicon processes
TW580751B (en) * 2003-01-30 2004-03-21 Mosel Vitelic Inc Method of forming bottom oxide in the trench
US7228865B2 (en) * 2003-05-28 2007-06-12 Texas Instruments Incorporated FRAM capacitor stack clean
US6921709B1 (en) 2003-07-15 2005-07-26 Advanced Micro Devices, Inc. Front side seal to prevent germanium outgassing
US7462549B2 (en) * 2004-01-12 2008-12-09 Advanced Micro Devices, Inc. Shallow trench isolation process and structure with minimized strained silicon consumption
US20070170542A1 (en) * 2006-01-26 2007-07-26 Micron Technology, Inc. Method of filling a high aspect ratio trench isolation region and resulting structure
US7759731B2 (en) * 2006-08-28 2010-07-20 Advanced Analogic Technologies, Inc. Lateral trench MOSFET with direct trench polysilicon contact and method of forming the same
US7393751B1 (en) * 2007-03-13 2008-07-01 International Business Machines Corporation Semiconductor structure including laminated isolation region
JP2008263093A (ja) * 2007-04-13 2008-10-30 Tokyo Electron Ltd エッチング方法、エッチングシステムおよびエッチング装置
US8003522B2 (en) * 2007-12-19 2011-08-23 Fairchild Semiconductor Corporation Method for forming trenches with wide upper portion and narrow lower portion
US7795109B2 (en) * 2008-06-23 2010-09-14 Qimonda Ag Isolation trenches with conductive plates
KR101116358B1 (ko) * 2009-12-30 2012-03-09 주식회사 하이닉스반도체 매립게이트를 구비하는 반도체장치 제조 방법
US8652933B2 (en) 2010-11-11 2014-02-18 International Business Machines Corporation Semiconductor structure having wide and narrow deep trenches with different materials
JP5736808B2 (ja) * 2011-02-02 2015-06-17 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8722479B2 (en) 2011-05-25 2014-05-13 Globalfoundries Inc. Method of protecting STI structures from erosion during processing operations
US11049932B2 (en) 2018-12-20 2021-06-29 Globalfoundries U.S. Inc. Semiconductor isolation structures comprising shallow trench and deep trench isolation

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07183370A (ja) * 1993-12-24 1995-07-21 Nec Corp 半導体装置の製造方法
US5525531A (en) * 1995-06-05 1996-06-11 International Business Machines Corporation SOI DRAM with field-shield isolation
US6010939A (en) * 1998-03-31 2000-01-04 Vlsi Technology, Inc. Methods for making shallow trench capacitive structures
US6251734B1 (en) * 1998-07-01 2001-06-26 Motorola, Inc. Method for fabricating trench isolation and trench substrate contact
US6277707B1 (en) * 1998-12-16 2001-08-21 Lsi Logic Corporation Method of manufacturing semiconductor device having a recessed gate structure
KR100297734B1 (ko) * 1999-07-07 2001-11-01 윤종용 반도체 집적회로의 트렌치 소자분리 방법
US6207534B1 (en) * 1999-09-03 2001-03-27 Chartered Semiconductor Manufacturing Ltd. Method to form narrow and wide shallow trench isolations with different trench depths to eliminate isolation oxide dishing
US6306723B1 (en) * 2000-03-13 2001-10-23 Chartered Semiconductor Manufacturing Ltd. Method to form shallow trench isolations without a chemical mechanical polish
US6277709B1 (en) * 2000-07-28 2001-08-21 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation structure

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005020075A1 (de) * 2005-04-29 2006-11-09 Infineon Technologies Ag Verfahren zum Herstellen einer Grabenstrukturhalbleitereinrichtung

Also Published As

Publication number Publication date
DE10307822B4 (de) 2005-08-18
US6566228B1 (en) 2003-05-20

Similar Documents

Publication Publication Date Title
DE10307822B4 (de) Grabenisolationsprozesse unter Verwendung einer Polysilizium-unterstützten Füllung
DE10230088B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
EP0018501B1 (de) Verfahren zur Herstellung von hochverdichteten vertikalen FETs und eine daraus gebildete Matrixanordnung
EP0631305B1 (de) Verfahren zur Herstellung eines Isolationsgrabens in einem Substrat für Smart-Power-Technologien
DE69534870T2 (de) Verfahren zur Herstellung eines flachen Grabens zur Isolierung von zwei nebeneinanderliegenden tiefen Gräben unter Verwendung eines Silizidierungsprozesses
DE102004012241A1 (de) Verfahren zum Füllen von tiefen Grabenstrukturen mit Füllungen ohne Hohlräume
EP0971414A1 (de) Grabenkondensator mit Isolationskragen und vergrabenen Kontakt und entsprechendes Herstellungsverfahren
DE102005048036B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit tiefen Grabenstrukturen
DE10014920C1 (de) Verfahren zur Herstellung eines Grabenkondensators
DE10360537A1 (de) Tiefe Isolationsgräben
DE10341576B4 (de) Verfahren zum Herstellen einer vertikalen Hartmaske
DE10239044B4 (de) Prozessfluss für Opferkragen
DE102018113168B4 (de) Halbleitervorrichtung mit einer nicht konformen oxidauskleidung und herstellungsverfahren dafür
DE69033602T2 (de) Verfahren zur Herstellung von Isolationsstrukturen mit variabler Breite
DE19947053C1 (de) Grabenkondensator zu Ladungsspeicherung und Verfahren zu seiner Herstellung
DE19929859B4 (de) Herstellungsverfahren für Trenchkondensator
DE10352068B4 (de) Ausbilden von Siliziumnitridinseln für eine erhöhte Kapazität
DE3613181C2 (de) Verfahren zum Erzeugen von Gräben mit einstellbarer Steilheit der Grabenwände in aus Silizium bestehenden Halbleitersubstraten
DE102004052577A1 (de) Technik zur Herstellung einer dielektrischen Ätzstoppschicht über einer Struktur, die Leitungen mit kleinem Abstand enthält
EP1282917A1 (de) Vertikaler transistor
DE102005063129A1 (de) Grabenisolationsstruktur für ein Halbleiterbauelement mit reduzierter Seitenwandverspannung und Verfahren zur Herstellung desselben
DE10361635B4 (de) Verfahren zur Herstellung eines Abstandselements für ein Leitungselement durch anwenden einer Ätzstoppschicht, die durch eine stark richtungsgebundene Abscheidetechnik aufgebracht wird und Transistor mit Abstandselement
DE102004013926B4 (de) Trenchspeicherstruktur und Verfahren zum Ausbilden eines selbstjustierenden Buried-Strap-Kontakts unter Verwendung von dotiertem HDP-Oxid
DE10111499C1 (de) Speicherzelle mit einem Graben und Verfahren zu ihrer Herstellung
DE69322024T2 (de) Verfahren zur Herstellung eines leitenden Kontakts auf einem Halbleiterkörper

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: INTERNATIONAL BUSINESS MACHINES CORP., ARMONK,, US

Owner name: QIMONDA AG, 81739 MUENCHEN, DE

8339 Ceased/non-payment of the annual fee