DE102023113236A1 - Luftspalt mit invertiertem T-förmigen unteren Abschnitt, der sich durch mindestens eine Metallschicht erstreckt, und entsprechendes Verfahren - Google Patents

Luftspalt mit invertiertem T-förmigen unteren Abschnitt, der sich durch mindestens eine Metallschicht erstreckt, und entsprechendes Verfahren Download PDF

Info

Publication number
DE102023113236A1
DE102023113236A1 DE102023113236.9A DE102023113236A DE102023113236A1 DE 102023113236 A1 DE102023113236 A1 DE 102023113236A1 DE 102023113236 A DE102023113236 A DE 102023113236A DE 102023113236 A1 DE102023113236 A1 DE 102023113236A1
Authority
DE
Germany
Prior art keywords
layer
metal layer
air gap
over
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102023113236.9A
Other languages
English (en)
Inventor
Wensheng Deng
Kemao LIN
Curtis Chun-I HSIEH
Wanbing YI
Liu Xinfu
Rui Tze TOH
Yanxia Shao
Shucheng Yin
Jason Kin Wei Wong
Yung Fu Chong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
Original Assignee
GlobalFoundries Singapore Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Singapore Pte Ltd filed Critical GlobalFoundries Singapore Pte Ltd
Publication of DE102023113236A1 publication Critical patent/DE102023113236A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1248Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition or shape of the interlayer dielectric specially adapted to the circuit arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/124Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or layout of the wiring layers specially adapted to the circuit arrangement, e.g. scanning lines in LCD pixel circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Es sind Verfahren zur Herstellung von Halbleitervorrichtungen mit einem Luftspalt, der sich durch mindestens eine Metallschicht erstreckt, und die so hergestellte Halbleitervorrichtung beschreiben. Der Luftspalt weist einen unteren Abschnitt auf, der eine Silizidschicht über einem Gate-Körper eines Transistor-Gates kontaktiert und eine umgekehrte T-Form über dem Gate-Körper aufweist. Der Luftspalt reduziert die Kapazität zwischen einem Transistor-Gate in einer Vorrichtungsschicht und benachbarten Drähten und Vias, die zur Kontaktierung von Source und Drain des Transistors verwendet werden.

Description

  • Hintergrund
  • Technisches Gebiet
  • Die vorliegende Erfindung betrifft Halbleitervorrichtungen und insbesondere eine Halbleitervorrichtung mit einem Luftspalt, der sich durch mindestens eine Metallschicht erstreckt und einen invertierten T-förmigen unteren Abschnitt in Kontakt mit einer Silizidschicht über einem Gate-Körper eines Transistor-Gates aufweist.
  • Hintergrund
  • Hochfrequenz (HF) -Schalter werden häufig in Telekommunikationsgeräten wie Smartphones verwendet, um Hochfrequenz-Telekommunikationssignale durch Übertragungspfade zu leiten. Beispielsweise werden HF-Schalter häufig in Smartphones verwendet, um die Verwendung mit verschiedenen digitalen drahtlosen Technologiestandards zu ermöglichen, die in verschiedenen Regionen eingesetzt werden. Derzeitige RF-Schalter werden in der Regel auf Halbleiter-auf-Isolator (semiconductor-on-insulator, SOI) -Substraten hergestellt. Eine Herausforderung bei HF-Schaltern, die in SOI-Substraten hergestellt werden, ist die Kontrolle zweier konkurrierender Parameter: der Einschaltwiderstand (Ron), d. h. der Widerstand des Schalters beim Einschalten, und die Ausschaltkapazität (Coff), die das Ausmaß des Übersprechens oder Rauschens angibt, das innerhalb des Systems auftreten kann, d. h. das Ausmaß, in dem übertragene Signale in einem Schaltkreis eine unerwünschte Wirkung auf einen anderen Schaltkreis haben. Ron sollte so niedrig wie möglich sein, wenn der HF-Schalter eingeschaltet ist, um den Stromverbrauch zu verringern, und Coff sollte minimiert werden, um unerwünschtes Kopplungsrauschen zu reduzieren. Bei herkömmlichen Halbleiterherstellungsprozessen führt eine Verringerung von Ron oder Coff zum gegenteiligen Effekt bei dem jeweils anderen Parameter.
  • Zusammenfassung
  • Ein erster Aspekt der Erfindung betrifft eine Halbleitervorrichtung, umfassend: eine Vorrichtungsschicht mit einem Transistor-Gate, das eine Silizidschicht über einem Gate-Körper aufweist; mindestens eine Metallschicht über der Vorrichtungsschicht, wobei die mindestens eine Metallschicht ein Dielektrikum mit einem Leiter darin umfasst; und einen Luftspalt, der sich durch das Dielektrikum der mindestens einen Metallschicht erstreckt, wobei ein unterer Abschnitt des Luftspalts die Silizidschicht über dem Gate-Körper berührt und eine umgekehrte T-Form über dem Gate-Körper aufweist.
  • Ein zweiter Aspekt der Erfindung umfasst einen Hochfrequenz-Halbleiter-auf-Isolator-Schalter (RFSOI), umfassend: ein Transistor-Gate in einer Halbleiter-auf-Isolator (SOI) -Vorrichtungsschicht eines SOI-Substrats, wobei das Transistor-Gate eine Silizidschicht über einem Gate-Körper aufweist; mindestens eine Metallschicht über der SOI-Vorrichtungsschicht, wobei die mindestens eine Metallschicht ein Dielektrikum mit einem Leiter darin aufweist; und einen Luftspalt, der sich durch das Dielektrikum der mindestens einen Metallschicht erstreckt, wobei ein unterer Abschnitt des Luftspalts die Silizidschicht über dem Gate-Körper kontaktiert und eine invertierte T-Form über dem Gate-Körper aufweist.
  • Ein dritter Aspekt der Erfindung bezieht sich auf ein Verfahren, umfassend: ein Bilden einer Öffnung durch ein Dielektrikum von mindestens einer Metallschicht über einem Transistor-Gate, wobei die Öffnung eine Ätzstoppschicht (etch stop layer, ESL) über einer Silizidschicht über einem Gate-Körper des Transistor-Gates freilegt; ein Vergrößern der Öffnung über dem Transistor-Gate, um die ESL zu entfernen und die Silizidschicht über dem Transistor-Gate freizulegen, wobei das Vergrößern der Öffnung das Dielektrikum über dem Transistor-Gate unterschneidet; und ein Bilden eines Luftspalts durch Bilden einer dielektrischen Schicht über der Öffnung, um die Öffnung abzudichten, wobei ein unterer Abschnitt des Luftspalts die Silizidschicht über dem Gate-Körper berührt und eine umgekehrte T-Form über dem Gate-Körper aufweist.
  • Die vorstehenden und andere Merkmale der Erfindung sind aus der folgenden detaillierteren Beschreibung von Ausführungsformen der Erfindung ersichtlich.
  • Kurze Beschreibung der Zeichnungen
  • Die Ausführungsformen dieser Erfindung sind mit Bezug auf die folgenden Abbildungen ausführlich beschrieben, wobei gleiche Bezeichnungen gleiche Elemente bezeichnen und wobei:
    • 1 eine Querschnittsansicht von Ausführungsformen einer Ausgangsstruktur für ein Verfahren gemäß der Erfindung zeigt.
    • 2 eine vergrößerte Querschnittsansicht eines illustrativen Transistor-Gates zeigt.
    • 3 eine Querschnittsansicht des Ätzens einer Öffnung für einen Luftspalt über einem Transistor-Gate gemäß Ausführungsformen eines Verfahrens der Erfindung zeigt.
    • 4 eine Querschnittsansicht der Vergrößerung der Öffnung und des Entfernens einer Ätzstoppschicht über einer Silizidschicht über einem Gate-Körper des Transistor-Gates gemäß Ausführungsformen des Verfahrens der Erfindung zeigt.
    • 5 eine Querschnittsansicht des Entfernens einer einen Luftspalt bildenden Maske gemäß Ausführungsformen der Erfindung zeigt.
    • 6 eine Querschnittsansicht einer Bildung eines Luftspalts und eines Halbleitervorrichtungs, wie z.B. eines Hochfrequenz-SOI-Schalters, der den Luftspalt umfasst, gemäß Ausführungsformen der Erfindung zeigt.
    • 7 eine Querschnittsansicht einer Bildung eines Luftspalts und eines Halbleitervorrichtungs, wie z. B. eines Hochfrequenz-SOI-Schalters, einschließlich des Luftspalts gemäß anderen Ausführungsformen der Erfindung zeigt.
    • 8 eine Querschnittsansicht der Bildung eines Luftspalts und eines Halbleitervorrichtungs, wie z.B. eines Hochfrequenz-SOI-Schalters, einschließlich des Luftspalts gemäß anderen Ausführungsformen der Erfindung zeigt.
    • 9 eine Querschnittsansicht der Bildung eines Luftspalts und eines Halbleitervorrichtungs, das den Luftspalt umfasst, gemäß alternativen Ausführungsformen der Erfindung zeigt.
  • Die Zeichnungen der Erfindung sind nicht maßstabsgetreu. Die Zeichnungen sollen nur typische Aspekte der Erfindung darstellen und sind daher nicht als den Umfang der Erfindung beschränkend anzusehen. In den Zeichnungen bezeichnen gleiche Bezugszeichen gleiche Elemente in den Zeichnungen.
  • Detaillierte Beschreibung
  • In der folgenden Beschreibung wird auf die beigefügten Zeichnungen Bezug genommen, die einen Teil davon bilden und in denen zur Veranschaulichung bestimmte Ausführungsformen gezeigt sind, in denen die vorliegende Erfindung angewendet sein kann. Diese Ausführungsformen sind hinreichend detailliert beschrieben, um dem Fachmann die Anwendung der vorliegenden Erfindung zu ermöglichen, und es versteht sich von selbst, dass auch andere Ausführungsformen verwendet und Änderungen vorgenommen werden können, ohne den Anwendungsbereich der vorliegenden Erfindung zu verlassen. Die folgende Beschreibung ist daher lediglich illustrativ.
  • Wenn ein Element wie eine Schicht, ein Bereich oder ein Substrat als „auf“ oder „über“ einem anderen Element bezeichnet wird, kann es sich direkt auf dem anderen Element befinden oder es können auch dazwischenliegende Elemente vorhanden sein. Wird ein Element dagegen als „direkt auf“ oder „direkt über“ einem anderen Element bezeichnet, so sind Zwischenelemente nicht unbedingt vorhanden. Wenn ein Element als mit einem anderen Element „verbunden“ oder „gekoppelt“ bezeichnet wird, kann es direkt mit dem anderen Element verbunden oder gekoppelt sein oder es können Zwischenelemente vorhanden sein. Wenn ein Element dagegen als mit einem anderen Element „direkt verbunden“ oder „direkt gekoppelt“ bezeichnet wird, sind keine dazwischenliegenden Elemente vorhanden.
  • Der Hinweis in der Beschreibung auf „eine Ausführungsform“ oder „eine Ausführungsform“ der vorliegenden Erfindung sowie andere Variationen davon bedeutet, dass ein bestimmtes Merkmal, eine bestimmte Struktur, eine bestimmte Eigenschaft usw., die im Zusammenhang mit der Ausführungsform beschrieben sind, in mindestens einer Ausführungsform der vorliegenden Erfindung enthalten sind. Daher beziehen sich die Ausdrücke „in einer Ausführungsform“ sowie alle anderen Variationen, die an verschiedenen Stellen in der Beschreibung erscheinen, nicht notwendigerweise alle auf dieselbe Ausführungsform. Es ist zu verstehen, dass die Verwendung von „/“, „und/oder“ und „mindestens eines von“, z. B. in den Fällen „A/B“, „A und/oder B“ und „mindestens eines von A und B“, nur die Auswahl der ersten aufgeführten Option (A) oder nur die Auswahl der zweiten aufgeführten Option (B) oder die Auswahl beider Optionen (A und B) umfassen soll. Ein weiteres Beispiel: In den Fällen „A, B und/oder C“ und „mindestens eine der Optionen A, B und C“ soll diese Formulierung nur die erste aufgeführte Option (A) oder nur die Auswahl der zweiten aufgeführten Option (B) oder nur die Auswahl der dritten aufgeführten Option (C) umfassen, oder die Auswahl der ersten und der zweiten aufgeführten Option (A und B), oder die Auswahl der ersten und der dritten aufgeführten Option (A und C), oder die Auswahl der zweiten und der dritten aufgeführten Option (B und C), oder die Auswahl aller drei Optionen (A und B und C). Dies kann, wie für einen Fachmann leicht ersichtlich, für beliebig viele aufgelistete Optionen erweitert werden.
  • Die vorliegende Erfindung bezieht sich auf Verfahren zur Herstellung von Halbleitervorrichtungen mit einem Luftspalt, der sich durch mindestens eine Metallschicht erstreckt, und auf die so hergestellte Halbleitervorrichtung. Bei Ausführungsformen der Erfindung wird ein Luftspalt verwendet, der sich durch das Dielektrikum mindestens einer Metallschicht erstreckt. Der Luftspalt weist einen unteren Abschnitt auf, der eine Silizidschicht über einem Gate-Körper des Transistor-Gates kontaktiert und eine umgekehrte T-Form über dem Gate-Körper aufweist. Der Luftspalt verringert die Kapazität zwischen einem Transistor-Gate in einer Vorrichtungsschicht und benachbarten Drähten und Durchkontaktierungen, die zur Kontaktierung von Source und Drain des Transistors verwendet werden. Diese Kapazitätsverringerung kann die Off-State-Kapazität des Transistors verringern, wenn er in Anwendungen wie Hochfrequenzschaltern in Halbleiter-auf-Isolator-Substraten (SOI) oder Bulk-Substraten (Nicht-SOI) eingesetzt wird. Die Verwendung eines Luftspalts, der sich durch zwei oder mehr Metallschichten über einem Transistor-Gate erstreckt, bietet einen Mechanismus zur Verringerung der Off-Kapazität eines jeden Bauelements, das diesen nutzt, indem einer der Hauptfaktoren für die intrinsische Kapazität eines Feldeffekttransistors (FET) gesteuert wird: die effektive Dielektrizitätskonstante der Kontakt- oder Vorrichtungsschicht und mindestens die erste Metallschicht.
  • Während die Erfindung mit Bezug auf ein SOI-Substrat und einen HF-Schalter beschrieben ist, können die Ausführungsformen ohne Beschränkung auf verschiedene alternative Halbleitervorrichtungen angewendet werden, wie z. B. rauscharme Verstärker (LNA) und Leistungsverstärker. Darüber hinaus können die Lehren auf verschiedene Substrate, wie z. B. ein Bulk-Substrat, angewandt werden.
  • In 1 ist eine Querschnittsansicht eines ersten Prozesses eines Verfahrens zur Bildung eines Luftspalts für eine Halbleitervorrichtung gemäß den Ausführungsformen der Erfindung dargestellt. 1 zeigt eine Halbleitervorrichtung 100 nach Bildung einer Vorrichtungsschicht 102, einer ersten Verbindungsschicht 104 und einer zweiten Verbindungsschicht 106. Die erste Verbindungsschicht 104 umfasst eine erste Metallschicht 110 (M1) und eine erste Durchgangsschicht 112 (V0-Schicht), und die zweite Verbindungsschicht 106 umfasst eine zweite Metallschicht 114 (M2) und eine zweite Durchgangsschicht 116 (V1). Die Erfindung kann auch auf Vorrichtungen mit mehreren Verbindungsschichten angewendet werden. Die Vorrichtungsschicht 102 ist so dargestellt, dass sie ein Halbleiter-auf-Isolator (SOI) -Substrat 120 mit einem Halbleitersubstrat 122 mit einer Isolatorschicht 124 darüber und einer Halbleiter-auf-Isolator (SOI) -Schicht 126 darüber umfasst. Das Substrat 122 und die SOI-Schicht 126 können jedes beliebige Halbleitermaterial ohne Beschränkung auf Silizium, Germanium, Siliziumgermanium und Siliziumkarbid umfassen. Darüber hinaus kann ein Abschnitt oder die gesamte Halbleitersubstrat 122 und/oder SOI-Schicht 126 verspannt sein. Zum Beispiel kann die SOI-Schicht 126 gedehnt werden. Die SOI-Schicht 126 kann durch flache Grabenisolierungen (STI) 128 segmentiert sein. Die Isolatorschicht 124 kann jedes geeignete dielektrische Material für die gewünschte Anwendung umfassen, z. B. Siliziumoxid (SiOx) oder (seltener) Saphir. Die Isolatorschicht 124 und/oder die STI 128 können auch das gleiche Material umfassen, z. B. Siliziumdioxid oder ein anderes hierin beschriebenes dielektrisches Zwischenschichtmaterial.
  • Die Vorrichtungsschicht 102 umfasst auch eine Anzahl von darin ausgebildeten Transistoren 130. Jeder Transistor 130 kann jede heute bekannte oder später entwickelte Transistorstruktur aufweisen, wie z.B. dotierte Source/Drain-Bereiche (nicht gekennzeichnet) in der SOI-Schicht 126 mit einem Transistor-Gate 132 darüber und dazwischen. 2 zeigt zur Veranschaulichung eine vergrößerte Querschnittsansicht eines Transistor-Gates 132. Jedes Transistor-Gate 132 kann neben anderen Strukturen einen Gate-Körper 134 aus Polysilizium oder einem Metall (allgemein als „PC“ bezeichnet), Abstandshalter 136 um den Gate-Körper 134, ein Gate-Dielektrikum 138 unter dem Gate-Körper 134, eine Silizidschicht 140 über dem Gate-Körper 134 (d. h. eine Silizium-Metall-Legierung) und eine Ätzstoppschicht 142 über der Silizidschicht 140 und/oder den Abstandshaltern 136 umfassen. Die Abstandshalter 136 können jedes heute bekannte oder später entwickelte Abstandshaltermaterial umfassen, wie z. B. Siliziumnitrid (Si3N4), und das Gate-Dielektrikum 138 kann jedes heute bekannte oder später entwickelte Gate-Dielektrikum umfassen, wie z. B.: Hafniumsilikat (HfSiO), Hafniumoxid (HfO2), Zirkoniumsilikat (ZrSiOx), Zirkoniumoxid (ZrO2), Siliziumoxid (SiO2), Siliziumnitrid (Si3N4), Siliziumoxynitrid (SiON), High-k-Material oder eine Kombination dieser Materialien. Die Ätzstoppschicht 142 kann jedes heute bekannte oder später entwickelte Ätzstoppmaterial umfassen, wie z. B. Siliziumnitrid, das wie hier beschrieben ätzen kann. Die Silizidschicht 140 kann aus jedem bekannten oder neu entwickelten Silizidmaterial gebildet sein, z. B. Titan, Nickel, Kobalt usw. Selbstverständlich kann jedes Transistor-Gate 132 in die Seite hinein, aus ihr heraus oder quer zu ihr verlaufen, wie dargestellt ist.
  • Zurück zu 1, jede Metallschicht 110, 114 und Durchgangsschicht 112, 116 kann ein Dielektrikum 150 umfassen. Das Dielektrikum 150 kann jedes heute bekannte oder später entwickelte dielektrischen Zwischenschicht (interlayer dieletric, ILD) mit einer Ätzrate in verdünnter Flusssäure (DHF) umfassen, die geringer ist als die von Siliziumnitrid. In einem nicht beschränkenden Beispiel umfasst das Dielektrikum 150 ein undotiertes Quarzglas wie ein Tetraethylorthosilikat (TEOS) Siliziumoxid. Jede Metallschicht 110, 114 kann eine entsprechende Metalldeckschicht 154 an ihrer Oberseite aufweisen. In ähnlicher Weise kann jede Durchgangsschicht 112 eine entsprechende Durchgangsdeckschicht 156 an ihrer Oberseite aufweisen. Jede Deckschicht 154, 156 kann eine oder mehrere Schichten umfassen, z. B. eine Siliziumoxidschicht 158 und eine Ätzstoppschicht 160, die aus Siliziumnitrid (Nitrid), Siliziumkohlenstoffnitrid (SiCN) usw. gebildet sind, wie in der Technik bekannt ist. Selbstverständlich können auch verschiedene andere Formen von Deckschichten verwendet werden. Ferner sind die Deckschichten 154, 156 zwar als identisch dargestellt, können aber aus unterschiedlichen Materialien, Dicken usw. gebildet sein.
  • Eine Anzahl von Leitern in Form von Kontakten 170 kann sich durch die Dielektrika 150 der Durchgangsschichten 112, 116 zu verschiedenen Teilen der Vorrichtungsschicht 102 und/oder darunterliegenden Metallschichten, z.B. der ersten Metallschicht 110, erstrecken. Im gezeigten Beispiel erstrecken sich die Kontakte 170 in der ersten Via-Schicht 112 zu den Source/Drain-Bereichen der Transistoren 130. Selbstverständlich kann jeder Kontakt 170 einen Leiter wie Aluminium oder Kupfer innerhalb einer hochschmelzenden Metallschicht aus Ruthenium umfassen; es können jedoch auch andere hochschmelzende Metalle wie Tantal (Ta), Titan (Ti), Wolfram (W), Iridium (Ir), Rhodium (Rh) und Platin (Pt) usw. oder Mischungen daraus verwendet werden. Typischerweise erstrecken sich die Kontakte 170 innerhalb der Halbleitervorrichtung 100 hauptsächlich vertikal, um die Leiter in den Schichten zu verbinden, d. h. vertikal auf der Seite, wie dargestellt ist. Jede Metallschicht 110, 114 kann eine Anzahl von Leitern in Form von Metalldrähten 172 darin umfassen. Für jeden Metalldraht 172 können die gleichen Materialien verwendet werden, wie sie für die Kontakte 170 aufgeführt sind. Im Gegensatz zu den Kontakten 170 erstrecken sich die Metalldrähte 172 meist horizontal oder seitlich in einer Schicht innerhalb der Halbleitervorrichtung 100, um die Kontakte 170 darin zu verbinden, d. h. in eine Seite hinein, aus ihr heraus oder über sie hinweg, wie dargestellt ist. Auf diese Weise kann die erste Metallschicht 110 einen Metalldraht 172 umfassen, der sich in die Seite hinein oder aus ihr heraus und möglicherweise seitlich parallel zum Transistor-Gate 132 in der Vorrichtungsschicht 102 erstreckt. In ähnlicher Weise kann die zweite Metallschicht 114 einen Metalldraht 172 umfassen, der sich in die Seite hinein oder aus der Seite heraus und vielleicht seitlich über die Seite erstreckt, um Kontakte in der zweiten Durchgangsschicht 116 zu verbinden.
  • Das in 1 dargestellte Halbleitervorrichtung 100 kann mit allen heute bekannten oder später entwickelten Halbleiterherstellungstechniken hergestellt werden, z. B. Materialabscheidung, fotolithografische Strukturierung und Ätzen, Dotierung usw. Obwohl die Kontakte 170 und die Drähte 172 in 1 als einzelne Damaszenerschichten dargestellt sind, können sie auch mit doppelten Damaszenerschichten gebildet werden, die hochschmelzendes, mit Kupfer oder Wolfram beschichtetes Metall umfassen, wie es in der Technik bekannt ist. Obwohl zwei Verbindungsschichten (104, 106) mit jeweils einer Metallschicht (110, 114) dargestellt sind, ist die Erfindung auf eine beliebige Anzahl von Verbindungsschichten und Metallschichten anwendbar.
  • „Abscheidung“ oder „Ablagerung“ kann gemäß der Beschreibung alle jetzt bekannten oder später entwickelten Techniken umfassen, die für das abzulagernde Material geeignet sind, einschließlich ohne Beschränkung zum Beispiel: Chemische Gasphasenabscheidung (CVD), Niederdruck-CVD (LPCVD), plasmaunterstützte CVD (PECVD), Halbatmosphären-CVD (SACVD) und Hochdichte-Plasma-CVD (HDPCVD), schnelle thermische CVD (RTCVD), Ultrahochvakuum-CVD (UHVCVD), reaktionsbegrenzte CVD (LRPCVD), metallorganische CVD (MOCVD), Sputterdeposition, lonenstrahldeposition, Elektronenstrahldeposition, lasergestützte Deposition, thermische Oxidation, thermische Nitrierung, Spin-On-Methoden, physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), chemische Oxidation, Molekularstrahlepitaxie (MBE), Plattieren, Verdampfen.
  • 1 zeigt auch eine Bildung einer Luftspaltmaske 176, die einen Abschnitt 178 einer obersten Metallschicht freilegt, die, wie gezeigt, die zweite Metallschicht 114 (d.h. deren Metalldeckschicht 154) der zweiten Verbindungsschicht 106 umfasst. Die zweite Metallschicht 114 liegt über der zweiten Durchgangsschicht 116 der zweiten Verbindungsschicht 106, die über der ersten Metallschicht 110 über der ersten Durchgangsschicht 112 der ersten Verbindungsschicht 104 liegt, die über der Vorrichtungsschicht 102 liegt. Die Maske 176 kann z. B. nach der Damascene-Planarisierung der zweiten Metallschicht 114 gebildet werden, z. B. mittels chemisch-mechanischem Polieren (CMP), und kann jedes heute bekannte oder später entwickelte Maskierungsmaterial umfassen. Die Maske 176 wird in herkömmlicher Weise strukturiert und geätzt, um darin Öffnungen 180 zu erzeugen. In einer Ausführungsform ist das Transistor-Gate 132 etwa 200 Nanometer (nm) breit, und die Öffnungen 180 in der Luftspaltmaske 176 können eine Größe von etwa 160 nm bis 240 nm, insbesondere 200 nm, aufweisen. Diese Breiten können mit größerer oder kleinerer Kanaltransistorbreite oder mit größerer oder kleinerer Breite von Kontakt 170 und Draht 172 skaliert werden.
  • 3 zeigt eine Querschnittsansicht einer Bildung einer Öffnung 200 durch das Dielektrikum 150 von mindestens einer Metallschicht über dem Transistor-Gate 132 in der Vorrichtungsschicht 102 einer Halbleiterstruktur. (Zu Beschreibungszwecken sind zwei Metallschichten 110, 114 dargestellt, aber es sind eine oder mehr als zwei möglich. 9 zeigt eine Vorrichtung mit einem Luftspalt 250 in einer Metallschicht 110.) Die Öffnung 200 kann durch Ätzen der Öffnung 200 durch die zweite Verbindungsschicht 106, durch mindestens die erste Metallschicht 110 der ersten Verbindungsschicht 104 und einen Teil der ersten Durchgangsschicht 112 unter Verwendung der Luftspaltmaske 176 gebildet werden. Die Bildung der Öffnung 200 erzeugt eine mehrschichtige Öffnung mit einem einzigen Ätzprozess anstelle eines zweistufigen Prozesses und führt zu einer konsistenteren Breite von Mitte zu Kante. Die Öffnung 200 legt die Seitenwände 202 der Dielektrika 150 der Verbindungsschichten 104, 106 frei, einschließlich derjenigen der ersten und zweiten Metallschichten 110, 114 und der zweiten Via-Schicht 116 sowie eines Teils der ersten Via-Schicht 112. Ätzen bezieht sich im Allgemeinen auf ein Entfernen von Material von einem Substrat (oder von auf dem Substrat gebildeten Strukturen) und wird häufig mit einer Maske durchgeführt, so dass ein Material von bestimmten Bereichen des Substrats selektiv entfernt werden kann, während das Material in anderen Bereichen des Substrats unberührt bleibt. Es gibt im Allgemeinen zwei Kategorien von Ätzverfahren: (i) Nassätzung und (ii) Trockenätzung. Die Nassätzung wird mit einem Lösungsmittel (z. B. einer Säure oder einer Base) durchgeführt, das so gewählt wird, dass es ein bestimmtes Material (z. B. Oxid) selektiv auflöst, während ein anderes Material (z. B. Polysilizium oder Nitrid) relativ intakt bleibt. Diese Fähigkeit zum selektiven Ätzen bestimmter Materialien ist für viele Halbleiterherstellungsverfahren von grundlegender Bedeutung. Eine Nassätzung ätzt im Allgemeinen ein homogenes Material (z. B. Oxid) isotopisch, aber eine Nassätzung kann auch einkristalline Materialien (z. B. Siliziumwafer) anisotropisch ätzen. Die Trockenätzung kann mit Hilfe eines Plasmas durchgeführt werden. Plasmasysteme können durch Einstellung der Plasmaparameter in verschiedenen Modi arbeiten. Beim gewöhnlichen Plasmaätzen werden energiereiche, neutral geladene freie Radikale erzeugt, die an der Oberfläche des Wafers reagieren. Da die neutralen Teilchen den Wafer aus allen Winkeln angreifen, ist dieser Prozess isotop. Beim lonenfräsen oder Sputterätzen wird der Wafer mit energiereichen Edelgasionen beschossen, die sich dem Wafer nur aus einer Richtung nähern, weshalb dieser Prozess stark anisotrop ist. Das reaktive lonenätzen (RIE) arbeitet unter Bedingungen, die zwischen dem Sputter- und dem Plasmaätzen liegen, und kann zur Herstellung tiefer, schmaler Strukturen, wie z. B. STI-Gräben, verwendet werden. In 3 kann das Ätzen (durch Pfeile gekennzeichnet) ein RIE umfassen. Gemäß der Verwendung hierin bedeutet „über dem Transistor-Gate“, wenn es sich auf die Öffnung 200 und/oder einen damit gebildeten Luftspalt 250 (6-8) bezieht, dass das Transistor-Gate 132 in irgendeiner Weise überlappt wird.
  • Gemäß der Darstellung in 3 kann die Öffnung 200 die Ätzstoppschicht 142 über der Silizidschicht 140 über dem Gate-Körper 134 des Transistor-Gates 132 freilegen. Im Hinblick auf die Tiefe der Öffnung 200 kann das Ätzen der Öffnung 200 beendet werden, wenn die Öffnung 200 die Ätzstoppschicht 142 freilegt. Der Ätzvorgang in 3 kann so gesteuert werden, dass das Ausmaß der Freilegung einer oberen Oberfläche des Transistor-Gates 132 ausgewählt wird. Obwohl zwei Öffnungen 200 dargestellt sind, kann eine beliebige Anzahl von Öffnungen 200 über einer beliebigen Anzahl von Transistor-Gates 132 gebildet werden. Außerdem können die Öffnungen 200 die Form von Gräben annehmen (z. B. in die Seite hinein oder aus der Seite heraus oder quer über die Seite an verschiedenen Querschnitten der Vorrichtung). Auf diese Weise können die Luftspalte 250 (6-7), die gemäß den Ausführungsformen der Erfindung gebildet werden, eine Vielzahl unterschiedlicher seitlicher Anordnungen aufweisen.
  • Gemäß der Darstellung in 3 wird durch das Bilden der Öffnung 200 eine Kante 206 einer ersten Metalldeckschicht 154 über einer obersten Metallschicht (z. B. einer zweiten Metallschicht 114) der mindestens einen Metallschicht 110, 114 und des Dielektrikums 150 unter der obersten Metalldeckschicht 114 im oberen Bereich der Öffnung 200 freigelegt. Die Bildung der Öffnung 200 kann auch eine Kante 208 einer zweiten Metalldeckschicht 154 über einer anderen Metallschicht (z. B. der ersten Metallschicht 110) der mindestens einen Metallschicht 110, 114, die sich unter der obersten Metallschicht 114 befindet, und ein Dielektrikum 150 unter der zweiten Metalldeckschicht 154 freilegen. In ähnlicher Weise können die (nicht nummerierten) Kanten der Durchgangsdeckschichten 156 durch die Bildung der Öffnung 200 freigelegt werden.
  • In diesem Stadium der Luftspaltbildung kann eine Verarbeitung eine Vergrößerung der Öffnung 200 aufweisen. 4 zeigt Querschnittsansichten der Vergrößerung der Öffnung 200 über dem Transistor-Gate 132, um die Ätzstoppschicht 142 zu entfernen und die Silizidschicht 140 über dem Transistor-Gate 132, d.h. dem Gate-Körper 134, freizulegen. Bei der Vergrößerung wird das Dielektrikum 150 über dem Transistor-Gate 132 unterschnitten. Auf diese Weise weist die Öffnung 200 (und eventuell der Luftspalt 250 ( )) einen unteren Abschnitt auf, der die Silizidschicht 140 über dem Gate-Körper 134 berührt und eine umgekehrte T-Form über dem Gate-Körper 134 aufweist (siehe Hinweis auf die umgekehrte T-Form in 4). Durch die Vergrößerung wird ein Teil der Ätzstoppschicht 142 über dem Gate-Körper 134 entfernt, was dessen effektive Dielektrizitätskonstante über dem Gate-Körper 134 verringert. Durch die Vergrößerung kann sich die Öffnung 200 auch bis unter eine obere Oberfläche 220 der Silizidschicht 140 und entlang eines oberen Abschnitts des Gate-Körpers 134 erstrecken. Das heißt, die Öffnung 200 legt die Seiten des oberen Abschnitts des Gate-Körpers 134 frei, z. B. dessen Abstandshalter 136, und steht mit dem oberen Abschnitt des Gate-Körpers 134 in Kontakt. Folglich kann sich der Luftspalt 250, wie in den 6 und 8 gezeigt, auch unterhalb der oberen Oberfläche 220 der Silizidschicht 140 erstrecken. Die Vergrößerung legt auch die Seitenwände 202 (3) des Dielektrikums 150 der Verbindungsschichten 104, 106 in der Öffnung 200 frei. Neben anderen Vorteilen bewirkt die Vergrößerung der (Breite zwischen den) Seitenwänden eine Vergrößerung der Öffnung 200 und damit der Luftspalte 250 (6-8), wodurch die effektive Dielektrizitätskonstante der Verbindungsschichten 104, 106 verringert wird, während die obere Öffnung des Luftspalts, die im nächsten Prozessschritt versiegelt werden soll, schmaler bleibt als der Luftspalt selbst. Wenn Siliziumoxidfilme, wie z. B. undotiertes Quarzglas (USG), für die Dielektrika 150 der Metallschichten 110, 114 und Siliziumnitrid für die Deckschicht(en) 154, 156 verwendet werden, könnte für diesen Vergrößerungsprozess eine Flusssäure-(HF) -Nassätzung verwendet werden (durch Pfeile in 4 gekennzeichnet). In einer Ausführungsform kann dieses Verfahren eine beliebige bekannte oder später entwickelte Behandlung der Ätzstoppschicht 142, z. B. eine RIE-Reinigung oder eine ähnliche Behandlung, umfassen und die Öffnung 200 einer Nassätzung, z. B. unter Verwendung einer verdünnten Flusssäure (DHF), aussetzen. Die HF-Konzentration kann z. B. im Bereich von 10:1 bis 500:1 Verdünnung mit Wasser liegen. Die Ätzstoppschicht 142, z. B. aus ultraviolettem Siliziumnitrid, ätzt schneller als die Dielektrika 150, z. B. aus USG.
  • Da die Dielektrika 150 außerdem schneller ätzen als das Dielektrikum/die Dielektrika der Deckschicht(en) 154, 156, zeigt 4, dass die Breite W1 der Öffnung 200 beispielsweise breiter ist als die Breite W2 (3) an derselben Stelle vor der Vergrößerung. In einer in 4 gezeigten Ausführungsform kann das Aussparen der freiliegenden Seitenwände 202 (3) der Dielektrika 150 der Verbindungsschichten 104, 106 in der Öffnung 200 die Kanten 206, 208 von mindestens einer der Metalldeckschichten 154 der ersten Metallschicht 110 freilegen (falls nicht bereits freigelegt), wie gezeigt ist. In einigen Fällen kann die Aussparung die Kanten der Durchgangsdeckschichten 156 von einer oder mehreren Durchgangsschichten 112, 116 freilegen. Der Vergrößerungsprozess kann die Öffnung 200 auch so erweitern, dass sie an die Kante(n) 206, 208 mindestens einer Deckschicht 154, 156 der Metallschicht(en) 110, 114 angrenzt, d. h., die Öffnung 200 befindet sich neben, über und unter den Kanten 206, 208. Das heißt, dass der Luftspalt 250 (6-8) nach seiner Bildung an die Kante(n) 206, 208 der Deckschicht(en) 154, 156 über der/den Metallschicht(en) 110, 114 angrenzt. In bestimmten Ausführungsformen umgibt der Luftspalt 250 (6-8) die Kante(n) 206, 208 der Deckschicht(en) 154, 156 über der/den Metallschicht(en) 110, 114. Wie bereits erwähnt, wird durch das Ätzen die Ätzstoppschicht 140 über der Silizidschicht 140 über dem Gate-Körper 134 entfernt. In einem nicht beschränkenden Beispiel kann die Öffnung 200 nach der Vergrößerung eine durchschnittliche Breite von 160 nm, d.h. zwischen 135 und 185 nm, aufweisen.
  • 5 zeigt eine Querschnittsansicht der Halbleitervorrichtung nach Entfernen der Luftspaltmaske 176. Die Luftspaltmaske 176 (1, 3 und 4) kann mit jedem bekannten oder später entwickelten Resiststreifen entfernt werden, in-situ oder ex-situ.
  • 6 zeigt eine Querschnittsansicht einer Bildung eines Luftspalts 250 (durch mindestens eine Metallschicht 110, 114) durch Bildung einer dielektrischen Schicht 252 über der Öffnung 200, um die Öffnung 200 abzudichten. Ein unterer Abschnitt des Luftspalts 250 berührt die Silizidschicht 140 über dem Gate-Körper 134 und weist eine umgekehrte T-Form über dem Gate-Körper 134 auf. Der Luftspalt 250 erstreckt sich auch unterhalb der oberen Oberfläche 220 der Silizidschicht 140, z. B. wölben sich die Seiten der T-Form um die Silizidschicht 140, die Abstandshalter 136 und den Gate-Körper 134. Der Gate-Körper 134 bleibt von der Silizidschicht 140 und den Abstandshaltern 136 bedeckt. Über dem Transistor-Gate 132 wird ein Luftspalt 250 gebildet, indem eine dielektrische Schicht 252 aufgebracht wird, die als Luftspalt-Deckschicht fungiert, um die Öffnung 200 an einer Oberfläche der obersten Metallschicht 114 abzudichten. Gemäß der Darstellung in 8 kann bei diesem Verfahren auch eine Seitenwand 202 (3) der dielektrischen Schichten 150 der Metallschichten 110, 114 mit der dielektrischen Schicht 252 beschichtet werden (zur Verdeutlichung etwas dunkler schattiert), d. h. der Luftspalt 250 wird leicht verengt. Obwohl nicht dargestellt, können auch die Kanten 206, 208 der Deckschichten 154 der Metallschichten 110, 114 und die (nicht nummerierten) Kanten der Durchgangsschichten 112, 116 abgedeckt werden. Die Seitenwände 202 (3) des Dielektrikums 150 können beschichtet werden, wenn beispielsweise die dielektrische Schicht 252 in der Lage ist, während der Bildung in die Öffnung 200 einzudringen und/oder die Öffnung 200 breit genug ist, um den Eintritt der dielektrischen Schicht 252 vor dem Verschließen der Öffnung zu ermöglichen.
  • Der Luftspalt 250 ist darstellungsgemäß zu dem Transistor-Gate 132 vertikal ausgerichtet, obwohl eine perfekte Ausrichtung nicht in allen Fällen erforderlich ist. Die dielektrische Schicht 252 kann ein beliebiges dielektrisches Material umfassen, das in der Lage ist, die Öffnung 200 abzudichten und als Zwischenschichtdielektrikum (ILD) für eine nächste darin zu bildende Via-Schicht zu fungieren. In einer Ausführungsform kann die dielektrische Schicht 252 ein chemisch aufgedampftes Dielektrikum (CVD) umfassen. In einer anderen Ausführungsform kann die dielektrische Schicht 252 ein plasmagestütztes Silanoxid aus der chemischen Gasphasenabscheidung (PECVD) umfassen. PECVD-Silanoxid kann gewählt werden, weil es eine sehr geringe Stufenbedeckung aufweist, was zu einem größeren Luftspaltvolumen führt. In anderen Ausführungsformen kann die dielektrische Schicht 252 eine dünne Siliziumnitridschicht mit einem ILD-Oxid, z. B. ein PECVD-Oxid auf TEOS-Basis, ein PVD-Oxid oder ein ähnliches Oxid, umfassen (einzelne Schichten sind aus Gründen der Übersichtlichkeit nicht dargestellt). Die dielektrische Schicht 252 kann mehr als eine Schicht aus dielektrischem Zwischenschichtmaterial umfassen. Der Luftspalt 250 legt keinen Kontakt 170 oder Metalldraht 172 innerhalb des Dielektrikums 150 der Verbindungsschichten 104, 106 frei. Das heißt, das Dielektrikum 150 oder jede Beschichtung (lining) des Dielektrikums 150 durch die dielektrische Schicht 252 bedeckt jeden leitfähigen Draht 172 in der ersten Metallschicht 110 und der zweiten Metallschicht 114 oder jeden leitfähigen Kontakt 170 in der ersten Durchgangsschicht 112 und der zweiten Durchgangsschicht 116.
  • Gemäß der Darstellung in 6 erstreckt sich der Luftspalt 250 vertikal über mindestens eine Metallschicht 110, 114. Insbesondere erstreckt sich der Luftspalt 250 vertikal über und unter den Metalldrähten 172 in der ersten Metallschicht 110 und der zweiten Metallschicht 114, d.h. unter dem Dielektrikum 150 der ersten Metallschicht 110 und über dem Metalldraht 172 in der zweiten Metallschicht 114. Der Luftspalt 250 erstreckt sich auch über eine obere Oberfläche der zweiten Metallschicht 114. Gemäß der Darstellung in 6 kann der Luftspalt 250 vertikal die Silizidschicht 140 des Transistor-Gates 132 berühren, d.h. die Silizidschicht 140 kann im Luftspalt 250 freigelegt sein. Der Luftspalt 250 kann die Kanten 206, 208 der Deckschicht 154 der Metallschichten 110, 114 (und die nicht gekennzeichneten Kanten der Deckschicht 156 der Via-Schichten 112, 116) umgeben. Der Luftspalt 250 erstreckt sich nur teilweise in die dielektrische Schicht 252, die den Luftspalt abdeckt, so dass die dielektrische Schicht 252 als Dielektrikum für eine dritte Durchgangsschicht mit minimaler Störung durch den Luftspalt 250 dienen kann. Die Durchgangslöcher 260 in der dielektrischen Schicht 252 können sich bis zu einer anderen Metallschicht (nicht dargestellt) erstrecken, die in der dielektrischen Schicht 252 oder einer darüber liegenden dielektrischen Schicht unter Verwendung einer herkömmlichen oder später entwickelten Technik ausgebildet werden kann. Die dielektrische Schicht 252 verschließt die Öffnung 200 (6) unabhängig von der seitlichen Anordnung. Die seitliche Anordnung der Öffnung 200 kann so gesteuert werden, dass ihre Freilegung durch nachfolgend gebildete Durchkontaktierungen 260 vermieden wird, wodurch verhindert wird, dass der Leiter der Durchkontaktierung 260 in den Luftspalt 250 gelangt.
  • Mit Bezug auf 6 und 7 wird auch eine Halbleitervorrichtung 270 gemäß den Ausführungsformen der Erfindung gezeigt. In einer Ausführungsform kann die Halbleitervorrichtung 270 eine Vorrichtungsschicht 102 mit einem Transistor-Gate 132 mit einer Silizidschicht 140 über dem Gate-Körper 134 umfassen. Die Ätzstoppschicht 142 wird von mindestens einem Teil der Silizidschicht 140 entfernt, z. B. dort, wo ein Luftspalt 250 vorhanden ist. Der Transistor 130 kann die Form eines heute bekannten oder später entwickelten CMOS-Feldeffekttransistors (FET) aufweisen. Die Halbleitervorrichtung 270 kann auch mindestens eine Metallschicht 110, 114 über der Vorrichtungsschicht 102 umfassen. Die Metallschichten 110, 114 können Teil der Verbindungsschichten 104 bzw. 106 über der Vorrichtungsschicht 102 sein. Die erste Verbindungsschicht 104 kann eine oder mehrere Verbindungsschichten umfassen, z. B. die erste Durchgangsschicht 112 und die erste Metallschicht 110; und die zweite Verbindungsschicht 106 kann eine oder mehrere Verbindungsschichten umfassen, z. B. die zweite Durchgangsschicht 116 und die zweite Metallschicht 114. Das heißt, die erste Metallschicht 110 ist Teil der ersten Verbindungsschicht 104, die auch die erste Durchgangsschicht 112 umfasst, und die zweite Metallschicht 114 ist Teil der zweiten Verbindungsschicht 106, die auch die zweite Durchgangsschicht 116 umfasst. In jedem Fall umfassen die Metallschichten 110, 114 ein Dielektrikum 150 mit darin befindlichen Leitern (z. B. Drähten 172).
  • Die Halbleitervorrichtung 270 umfasst auch einen Luftspalt 250, der sich durch das Dielektrikum 150 der mindestens einen Metallschicht 110, 114 erstreckt. Der Luftspalt 250 ist durch die mindestens eine Metallschicht 110, 114 hindurchgehend, d.h. es gibt keine Unterbrechung in dem Luftspalt. Die mindestens eine Metallschicht kann mindestens eine erste Metallschicht 110 über der Vorrichtungsschicht 102 und eine zweite Metallschicht 114 über der ersten Metallschicht 110 umfassen. Der Luftspalt 250 kann sich durch eine beliebige Anzahl von zusätzlichen Metallschichten erstrecken, z. B. durch eine dritte, vierte, fünfte Metallschicht usw., sowie durch alle dazwischenliegenden Durchgangsschichten. Der Luftspalt 250 kann sich durch die zweite Durchgangsschicht 116 und einen Teil der ersten Durchgangsschicht 112 erstrecken. Der Luftspalt 250 befindet sich über dem Transistor-Gate 132 in der Vorrichtungsschicht 102 unterhalb einer untersten Metallschicht, z. B. der ersten Metallschicht 110 der mindestens einen Metallschicht. Ein unterer Teil des Luftspalts 250 berührt die Silizidschicht 140 über dem Gate-Körper 134 und hat eine umgekehrte T-Form über dem Gate-Körper 134. Gemäß der Darstellung in den 6 und 8 kann sich der Luftspalt 250 auch unterhalb der oberen Oberfläche 220 der Silizidschicht 140 erstrecken, z. B. wölben sich die Seiten der T-Form um die Silizidschicht 140, die Abstandshalter 136 und den Gate-Körper 134. Somit kann sich der Luftspalt 250 angrenzend an einen oberen Abschnitt des Gate-Körpers 134 erstrecken, d.h. er befindet sich seitlich von einem Teil des oberen Teils des Gate-Körpers 134. Gemäß der Darstellung in 7 kann ein unterer Abschnitt des Luftspalts 250 die Silizidschicht 140 über dem Gate-Körper 134 berühren und die umgekehrte T-Form über dem Gate-Körper 134 aufweisen, sich aber nicht unter eine obere Oberfläche der Silizidschicht 140 oder entlang eines oberen Abschnitts des Gate-Körpers 134 erstrecken. In jedem Fall bleibt der Gate-Körper 134 von der Silizidschicht 140 und den Abstandshaltern 136 bedeckt. Die dielektrische Schicht 252 versiegelt den Luftspalt 250 und kann, wie in 8 gezeigt, die Seitenwand 202 (3) des Dielektrikums 150 mindestens einer Metallschicht 110, 114 beschichten (lining).
  • Die Halbleitervorrichtung 270 kann auch mindestens eine Deckschicht 154 über jeder Metallschicht 110, 114 umfassen. Die Metalldeckschicht 154 einer obersten Metallschicht (z.B. der zweiten Metallschicht 114) befindet sich über dem Dielektrikum 150 der zweiten Metallschicht 114, und die Metalldeckschicht 154 der zweiten Metallschicht 114 befindet sich über dem Dielektrikum 150 der ersten Metallschicht 110 (mit der zweiten Durchgangsschicht 116 dazwischen). Der Luftspalt 250 kann die Kante(n) 206, 208 der Deckschicht(en) 154 über jeder Metallschicht 110, 114 (und die nicht gekennzeichneten Kanten der Deckschicht 156 der Durchgangsschichten 112, 116) umgeben. Das heißt, der Luftspalt 250 befindet sich angrenzend an, über und unter den Kanten.
  • Der Luftspalt 250 umfasst eine dielektrische Schicht 252, die ein oberes Ende des Luftspalts abdichtet. Gemäß der Darstellung in 8 kann eine Filmschicht aus der dielektrischen Schicht 252 (nicht gezeigt) die Breite gegenüber derjenigen, die nach der Vergrößerung vorhanden ist, verringern. In einer Ausführungsform kann der Luftspalt 250 ein Verhältnis von Höhe zu Breite von mehr als etwa 6,5 aufweisen. Andere Abmessungen und/oder Größenverhältnisse sind ebenfalls möglich. Obwohl nicht dargestellt, kann der Luftspalt 250 seitlich in die Seite hinein und aus ihr heraus verlängert werden und kann jede gewünschte seitliche Anordnung haben.
  • 9 zeigt eine Querschnittsansicht der Halbleitervorrichtung 270 mit dem Luftspalt 250 in einer Metallschicht 110. Obwohl 9 den Luftspalt 250 in der Form der Ausführungsform von 6 zeigt, kann er jedes der hier beschriebenen Formate aufweisen.
  • Es kann eine beliebige Anzahl von Luftspalten 250 vorgesehen werden, wobei sich jeder Luftspalt 250 durch jede der mindestens einen Metallschicht 110, 114 usw. erstreckt und die Silizidschicht 140 oberhalb eines entsprechenden Gate-Körpers 134 eines Transistor-Gates 132 kontaktiert. Jeder Luftspalt 250 weist die umgekehrte T-Form in Kontakt mit der Silizidschicht 140 an einem unteren Abschnitt davon auf.
  • Die Halbleitervorrichtung 270 kann verwendet werden, um eine Vielzahl von Vorrichtungen zu bilden, wie z. B. einen Hochfrequenz-Halbleiter-auf-Isolator-Schalter (RFSOI), einen Verstärker mit niedriger Amplitude, einen Leistungsverstärker usw. Die Verwendung des Luftspalts 250 über dem Transistorgate 132 gemäß den verschiedenen Ausführungsformen der Erfindung bietet einen Mechanismus zur Verringerung der Ausschaltkapazität und des Einschaltwiderstands eines beliebigen Bauelements, das diesen verwendet, indem einer der Hauptfaktoren für die intrinsische FET-Kapazität gesteuert wird: die effektive Dielektrizitätskonstante der ersten Via-Schicht 112 und der ersten Metallschicht 110.
  • Das oben beschriebene Verfahren wird bei der Herstellung von integrierten Schaltungschips verwendet. Die daraus resultierenden integrierten Schaltungschips können vom Hersteller in Form eines Rohwafers (z. B. als einzelner Wafer, der mehrere unverpackte Chips umfasst), als nackter Chip oder in verpackter Form vertrieben werden. Der Chip kann mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signalverarbeitungsgeräten als Teil eines Zwischenprodukts oder eines Endprodukts integriert werden. Bei dem Endprodukt kann es sich um ein beliebiges Produkt handeln, das integrierte Schaltungschips umfasst, wie z. B. Computerprodukte mit einem Bildschirm, einer Tastatur oder einem anderen Eingabegerät und einem zentralen Prozessor.
  • Die hier verwendete Terminologie dient nur der Beschreibung bestimmter Ausführungsformen und ist nicht als Einschränkung der Erfindung zu verstehen. Die hier verwendeten Singularformen „ein, eine“ und „der, die, das“ schließen auch die Pluralformen ein, sofern aus dem Kontext nicht eindeutig etwas anderes hervorgeht. Es versteht sich ferner, dass die Begriffe „umfasst“ und/oder „umfassend“, wenn sie in dieser Beschreibung verwendet werden, das Vorhandensein bestimmter Merkmale, ganzer Zahlen, Schritte, Operationen, Elemente und/oder Komponenten spezifizieren, aber nicht das Vorhandensein oder Hinzufügen eines oder mehrerer anderer Merkmale, ganzer Zahlen, Schritte, Operationen, Elemente, Komponenten und/oder Gruppen davon ausschließen. „Optional“ bedeutet, dass das nachfolgend beschriebene Ereignis oder der beschriebene Umstand eintreten oder nicht eintreten kann, und dass die Beschreibung Fälle umfasst, in denen das Ereignis eintritt, und Fälle, in denen es nicht eintritt.
  • Eine ungenaue Sprache, wie sie hier in der Spezifikation und den Ansprüchen verwendet wird, kann verwendet werden, um jede quantitative Darstellung zu modifizieren, die zulässigerweise variieren könnte, ohne zu einer Änderung der Grundfunktion zu führen, auf die sie sich bezieht. Dementsprechend ist ein Wert, der durch einen oder mehrere Begriffe wie „ungefähr“, „annähernd“ und „im Wesentlichen“ modifiziert wird, nicht auf den genau angegebenen Wert beschränkt. Zumindest in einigen Fällen kann die ungenaue Formulierung der Genauigkeit eines Instruments zur Messung des Wertes entsprechen. Hier und in der gesamten Spezifikation und den Ansprüchen können Bereichsbegrenzungen kombiniert und/oder ausgetauscht werden; solche Bereiche sind gekennzeichnet und schließen alle darin umfassten Unterbereiche ein, sofern der Kontext oder die Sprache nichts anderes besagt. Der Begriff „ungefähr“, der sich auf einen bestimmten Wert eines Bereichs bezieht, gilt für beide Werte und kann, sofern nicht anders von der Präzision des Messgeräts abhängig, +/- 10 % des angegebenen Werts/der angegebenen Werte bedeuten.
  • Die entsprechenden Strukturen, Materialien, Handlungen und Äquivalente aller Mittel- oder Schritt-plus-Funktions-Elemente in den nachstehenden Ansprüchen sollen jede Struktur, jedes Material oder jede Handlung zur Ausführung der Funktion in Kombination mit anderen beanspruchten Elementen, wie spezifisch beansprucht, umfassen. Die Beschreibung der vorliegenden Erfindung dient der Veranschaulichung und Beschreibung, ohne jedoch vollständig oder die Erfindung in der beschriebenen Form beschränkend zu sein. Es sind dem Fachmann viele Modifizierungen und Abwandlungen ersichtlich, ohne vom Umfang und Wesen der Erfindung abzuweichen. Die Ausführungsform wurde gewählt und beschrieben, um die Grundsätze der Erfindung und die praktische Anwendung bestmöglich zu erläutern und es anderen als dem Fachmann zu ermöglichen, die Erfindung für verschiedene Ausführungsformen mit verschiedenen Modifizierungen zu verstehen, die für die jeweilige in Betracht gezogene Verwendung geeignet sind.

Claims (20)

  1. Halbleitervorrichtung, umfassend: eine Vorrichtungsschicht mit einem Transistor-Gate, das eine Silizidschicht über einem Gate-Körper aufweist; mindestens eine Metallschicht über der Vorrichtungsschicht, wobei die mindestens eine Metallschicht ein Dielektrikum mit einem Leiter darin umfasst; und einen Luftspalt, der sich durch das Dielektrikum der mindestens einen Metallschicht erstreckt, wobei ein unterer Abschnitt des Luftspalts die Silizidschicht über dem Gate-Körper kontaktiert und eine umgekehrte T-Form über dem Gate-Körper aufweist.
  2. Halbleitervorrichtung nach Anspruch 1, wobei die mindestens eine Metallschicht mindestens eine erste Metallschicht über der Vorrichtungsschicht und eine zweite Metallschicht über der ersten Metallschicht umfasst.
  3. Halbleitervorrichtung nach Anspruch 1, ferner umfassend mindestens eine Deckschicht über jeder Metallschicht, wobei der Luftspalt eine Kante der mindestens einen Deckschicht über jeder Metallschicht umgibt.
  4. Halbleitervorrichtung nach Anspruch 1, wobei die mindestens zwei Metallschichten eine erste Metallschicht, die Teil einer ersten Verbindungsschicht ist, die auch eine erste Durchgangsschicht umfasst, und eine zweite Metallschicht, die Teil einer zweiten Verbindungsschicht ist, die auch eine zweite Durchgangsschicht umfasst, umfassen, wobei sich der Luftspalt durch die zweite Durchgangsschicht und einen Teil der ersten Durchgangsschicht erstreckt.
  5. Halbleitervorrichtung nach Anspruch 1, ferner umfassend eine dielektrische Schicht, die den Luftspalt versiegelt und eine Seitenwand des Dielektrikums der mindestens einen Metallschicht beschichtet.
  6. Halbleitervorrichtung nach Anspruch 1, wobei sich der Luftspalt unterhalb einer oberen Oberfläche der Silizidschicht erstreckt.
  7. Halbleitervorrichtung nach Anspruch 6, wobei sich der Luftspalt angrenzend an einen oberen Abschnitt des Gate-Körpers erstreckt.
  8. Hochfrequenz-Halbleiter-auf-Isolator (RFSOI) -Schalter, umfassend ein Transistor-Gate in einer Halbleiter-auf-Isolator (SOI) -Vorrichtungsschicht eines SOI-Substrats, wobei das Transistor-Gate eine Silizidschicht über einem Gate-Körper umfasst; mindestens eine Metallschicht über der SOI-Vorrichtungsschicht, wobei die mindestens eine Metallschicht ein Dielektrikum mit einem Leiter darin umfasst; und einen Luftspalt, der sich durch das Dielektrikum der mindestens einen Metallschicht erstreckt, wobei ein unterer Abschnitt des Luftspalts die Silizidschicht über dem Gate-Körper kontaktiert und eine umgekehrte T-Form über dem Gate-Körper aufweist.
  9. RFSOI-Schalter nach Anspruch 8, wobei die mindestens eine Metallschicht mindestens eine erste Metallschicht über der Vorrichtungsschicht und eine zweite Metallschicht über der ersten Metallschicht umfasst.
  10. RFSOI-Schalter nach Anspruch 8, ferner umfassend mindestens eine Deckschicht über jeder Metallschicht, wobei der Luftspalt an einen Rand der mindestens einen Deckschicht über jeder Metallschicht angrenzt.
  11. RFSOI-Schalter nach Anspruch 8, wobei die mindestens eine Metallschicht eine erste Metallschicht umfasst, die Teil einer ersten Verbindungsschicht ist, die auch eine erste Durchgangsschicht umfasst, und eine zweite Metallschicht, die Teil einer zweiten Verbindungsschicht ist, die auch eine zweite Durchgangsschicht umfasst, wobei sich der Luftspalt durch die zweite Durchgangsschicht und einen Teil der ersten Durchgangsschicht erstreckt.
  12. RFSOI-Schalter nach Anspruch 8, ferner umfassend eine dielektrische Schicht, die den Luftspalt versiegelt und eine Seitenwand des Dielektrikums der mindestens einen Metallschicht beschichtet.
  13. RFSOI-Schalter nach Anspruch 8, wobei sich der Luftspalt unterhalb einer oberen Oberfläche der Silizidschicht erstreckt.
  14. RFSOI-Schalter nach Anspruch 13, wobei sich der Luftspalt neben einem oberen Abschnitt des Gate-Körpers erstreckt.
  15. Verfahren, umfassend: ein Bilden einer Öffnung durch ein Dielektrikum von mindestens einer Metallschicht über einem Transistor-Gate, wobei die Öffnung eine Ätzstoppschicht (ESL) über einer Silizidschicht über einem Gate-Körper des Transistor-Gates freilegt; ein Vergrößern der Öffnung über dem Transistor-Gate, um die ESL zu entfernen und die Silizidschicht über dem Transistor-Gate freizulegen, wobei die Vergrößerung der Öffnung das Dielektrikum über dem Transistor-Gate unterschneidet; und ein Bilden eines Luftspalts durch ein Bilden einer dielektrischen Schicht über der Öffnung, um die Öffnung abzudichten, wobei ein unterer Abschnitt des Luftspalts die Silizidschicht über dem Gate-Körper berührt und eine umgekehrte T-Form über dem Gate-Körper aufweist.
  16. Verfahren nach Anspruch 15, wobei das Bilden der Öffnung ein Durchführen eines reaktiven lonenätzens (RIE) umfasst und das Vergrößern ein Behandeln der Ätzstoppschicht und ein Anwenden eines verdünnten Flusssäure-Ätzens (DHF) auf die Öffnung umfasst.
  17. Verfahren nach Anspruch 15, wobei sich die Öffnung durch die Vergrößerung bis unter eine obere Oberfläche der Silizidschicht und entlang eines oberen Teils des Gate-Körpers erstreckt, und wobei sich der Luftspalt unter die obere Oberfläche der Silizidschicht erstreckt.
  18. Verfahren nach Anspruch 15, wobei das Vergrößern die Öffnung so ausdehnt, dass sie eine Kante von mindestens einer Deckschicht über der mindestens einen Metallschicht umgibt, und wobei der Luftspalt an die Kante der mindestens einen Deckschicht über der mindestens einen Metallschicht angrenzt.
  19. Verfahren nach Anspruch 15, wobei die mindestens eine Metallschicht eine erste Metallschicht umfasst, die Teil einer ersten Verbindungsschicht ist, die auch eine erste Durchgangsschicht umfasst, und eine zweite Metallschicht, die Teil einer zweiten Verbindungsschicht ist, die auch eine zweite Durchgangsschicht umfasst, wobei sich der Luftspalt durch die zweite Durchgangsschicht und einen Teil der ersten Durchgangsschicht erstreckt.
  20. Verfahren nach Anspruch 15, wobei das Bilden des Luftspalts eine Seitenwand des Dielektrikums der mindestens einen Metallschicht mit der dielektrischen Schicht verbindet.
DE102023113236.9A 2022-06-21 2023-05-22 Luftspalt mit invertiertem T-förmigen unteren Abschnitt, der sich durch mindestens eine Metallschicht erstreckt, und entsprechendes Verfahren Pending DE102023113236A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/807,905 US20230411208A1 (en) 2022-06-21 2022-06-21 Air gap with inverted t-shaped lower portion extending through at least one metal layer, and related method
US17/807,905 2022-06-21

Publications (1)

Publication Number Publication Date
DE102023113236A1 true DE102023113236A1 (de) 2023-12-21

Family

ID=88974844

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102023113236.9A Pending DE102023113236A1 (de) 2022-06-21 2023-05-22 Luftspalt mit invertiertem T-förmigen unteren Abschnitt, der sich durch mindestens eine Metallschicht erstreckt, und entsprechendes Verfahren

Country Status (3)

Country Link
US (1) US20230411208A1 (de)
CN (1) CN117276284A (de)
DE (1) DE102023113236A1 (de)

Also Published As

Publication number Publication date
US20230411208A1 (en) 2023-12-21
CN117276284A (zh) 2023-12-22

Similar Documents

Publication Publication Date Title
DE102017207873B4 (de) Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung
DE102017207777B4 (de) Luftspalt über Transistorgate und entsprechender RFSOI-Schalter
DE102018202897B4 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102019201354B4 (de) Verfahren für eine Gate-Schnitt-Struktur mit Liner-Abstandshalter
DE10056871B4 (de) Feldeffekttransistor mit verbessertem Gatekontakt und Verfahren zur Herstellung desselben
DE10393687B4 (de) Doppelgatehalbleiterbauelement mit separaten Gates und Verfahren zur Herstellung des Doppelgatehalbleiterbauelements
DE102013220852B4 (de) Integrierte Schaltungen und Verfahren zum Herstellen von integrierten Schaltungen mit Metall-Gate-Elektroden
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE112012000850B4 (de) Verfahren zum Ausbilden eines randlosen Kontakts für Transistoren in einem Ersatzmetall-Gate-Prozess und derartige Halbleiter-Transistorstruktur
WO2006094495A1 (de) Herstellung eines traegerscheiben-kontakts in grabenisolierten integrierten soi schaltungen mit hochspannungs-bauelementen
DE102009039522B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit vergrabener Ätzstoppschicht in Grabenisolationsstrukturen für eine bessere Oberflächenebenheit in dicht gepackten Halbleiterbauelementen
DE102020111378B4 (de) Reduzierte rc-verzögerung in halbleitervorrichtungen
DE102019216082A1 (de) Skalierter gate-kontakt und source/drain-kappe
DE102020129523A1 (de) Duale dielektrische schicht für schliessende verbindungsstelle in luftspaltstrukturen
DE102005022574A1 (de) Halbleiterspeicherbauelement mit Isolationsgrabenstruktur und zugehöriges Herstellungsverfahren
DE102018206438B4 (de) Verfahren zur Herstellung von Kontaktstrukturen
DE102010028458A1 (de) Halbleiterbauelement mit Kontaktelementen und Metallsilizidgebieten, die in einer gemeinsamen Prozesssequenz hergestellt sind
DE102023113236A1 (de) Luftspalt mit invertiertem T-förmigen unteren Abschnitt, der sich durch mindestens eine Metallschicht erstreckt, und entsprechendes Verfahren
DE102017128047A1 (de) Halbleitereinrichtung und verfahren zu deren herstellung
DE102019214644B4 (de) Verfahren zu Herstellung einer Finfet-Struktur mit einem einen dielektrischen Streifen umfassenden Gate zur Reduzierung der effektiven Kapazität
DE102020132624A1 (de) Dickere ecken einer dielektrischen gate-struktur um eine vertiefte gate-elektrode für mv-vorrichtung
DE102021108764A1 (de) Halbleitende metalloxidtransistoren mit einem strukturierten gate und verfahren zum bilden derselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R083 Amendment of/additions to inventor(s)