DE102022129996A1 - Durchkontaktierungsstruktur - Google Patents

Durchkontaktierungsstruktur Download PDF

Info

Publication number
DE102022129996A1
DE102022129996A1 DE102022129996.1A DE102022129996A DE102022129996A1 DE 102022129996 A1 DE102022129996 A1 DE 102022129996A1 DE 102022129996 A DE102022129996 A DE 102022129996A DE 102022129996 A1 DE102022129996 A1 DE 102022129996A1
Authority
DE
Germany
Prior art keywords
layer
tsv
dielectric layer
semiconductor
length
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102022129996.1A
Other languages
English (en)
Inventor
Min-Feng KU
Yao-Chun Chuang
Cheng-Chien Li
Ching-Pin Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102022129996A1 publication Critical patent/DE102022129996A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5225Shielding layers formed together with wiring layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Eine beispielhafte Halbleiterstruktur weist ein Vorrichtungssubstrat mit einer ersten Seite und einer zweiten Seite auf. Eine dielektrische Schicht ist über der ersten Seite des Vorrichtungssubstrats angeordnet. Eine Durchkontaktierung erstreckt sich entlang einer ersten Richtung durch die dielektrische Schicht und durch das Vorrichtungssubstrat von der ersten Seite zu der zweiten Seite. Die Durchkontaktierung hat eine Gesamtlänge entlang der ersten Richtung und eine Breite entlang einer zweiten Richtung, die sich von der ersten Richtung unterscheidet. Die Gesamtlänge ist eine Summe einer ersten Länge der Durchkontaktierung in der dielektrischen Schicht und einer zweiten Länge der Durchkontaktierung in dem Vorrichtungssubstrat. Die erste Länge ist kleiner als die zweite Länge. Ein Schutzring ist in der dielektrischen Schicht und um die Durchkontaktierung angeordnet.

Description

  • Dies ist eine nicht vorläufige Anmeldung und beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/289,698 , eingereicht am 15. Dezember 2021, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • HINTERGRUND
  • Die Industrie integrierter Halbleiterschaltungen (IC) hat ein rasches Wachstum erfahren. Laufende Fortschritte in Halbleiterherstellungsprozessen haben zu IC-Schaltungen mit Halbleitervorrichtungen mit feineren Strukturelementen und/oder höheren Integrationsgraden geführt. Die Funktionsdichte (d.h. die Anzahl miteinander verbundener Vorrichtungen pro IC-Chipfläche) hat im Allgemeinen zugenommen, während die Strukturelementgröße (d.h. die kleinste Komponente, die mit einem Fertigungsprozess erzeugt werden kann) abgenommen hat. Dieser Abwärtsskalierungsprozess hat im Allgemeinen durch Erhöhen der Produktionseffizienz und Senken zugehöriger Kosten Vorteile bereitgestellt.
  • Es wurden fortschrittliche IC-Packaging-Technologien entwickelt, um Dichte weiter zu verringern und/oder Leistungsfähigkeit von ICs zu verbessern, die in viele elektronische Vorrichtungen integriert sind. Zum Beispiel wurde IC-Packaging entwickelt, sodass viele ICs in sogenannten dreidimensionalen („3D“) Packages oder 2,5D Packages (die ein Zwischenelement verwenden) vertikal gestapelt werden können. Durchkontaktierung (auch als Siliziumdurchkontaktierung (TSV)) bezeichnet, ist eine Technik zur elektrischen und/oder physischen Verbindung gestapelter ICs. Obwohl bestehende Durchkontaktierungen im Allgemeinen für ihren geplanten Zweck adäquat sind, waren sie nicht in jeder Hinsicht vollkommen zufriedenstellend.
  • Figurenliste
  • Die vorliegende Offenbarung lässt sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind und nur zu Veranschaulichungszwecken verwendet werden. Abmessungen der verschiedenen Merkmale können zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 ist eine fragmentarische Querschnittsansicht eines Teils oder einer Gesamtheit einer Halbleiterstruktur mit einem verbesserten Substratdurchkontaktierungsdesign (TSV-Design) (d.h. Design einer vertikalen leitfähigen Interconnect-Struktur) gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 2 ist eine fragmentarische Draufsicht eines Teils oder der Gesamtheit der Halbleiterstruktur von 1 gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 3 und 4 sind vergrößerte Querschnittsansichten von Teilen von Halbleiterstruktur von 1 und 2 gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 5A-5D sind Draufsichten eines Teils oder der Gesamtheit von Schutzringen und entsprechenden TSVs, die in der Halbleiterstruktur von 1 und 2 implementiert werden können, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 6 ist eine fragmentarische schematische Querschnittsansicht eines Teils oder der Gesamtheit einer Halbleiteranordnung, die die Halbleiterstruktur von 1 und 2 aufweist, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 7A-7I sind fragmentarische Querschnittsansichten eines Teils oder der Gesamtheit eines Werkstücks in verschiedenen Fertigungsstufen zum Bilden einer TSV und eines entsprechenden Schutzrings gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 8A-8E sind fragmentarische Querschnittsansichten eines Teils oder der Gesamtheit eines Werkstücks in verschiedenen Fertigungsstufen zum Bilden eines TSV-Grabens, der in der Fertigungsstufe von 7E implementiert werden kann, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 9 ist ein Ablaufdiagramm eines Teils oder der Gesamtheit eines Verfahrens zum Fertigen einer Halbleiterstruktur, wie die Halbleiterstruktur von 1 und 2, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 10 ist eine fragmentarische schematische Querschnittsansicht eines Teils oder der Gesamtheit eines Vorrichtungssubstrats, das in der Halbleiterstruktur von 1 und 2 implementiert werden kann, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegende Offenbarung betrifft im Allgemeinen IC-Packaging und insbesondere verbesserte Durchkontaktierungsstrukturen für IC-Packaging.
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Strukturelemente der Erfindung bereit. Spezifische Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich bloß Beispiele und nicht beabsichtigt einschränkend zu sein. Zum Beispiel kann die Bildung eines ersten Strukturelements über oder auf einem zweiten Strukturelement in der folgenden Beschreibung Ausführungsformen enthalten, in denen das erste Strukturelement und das zweite Strukturelement in direktem Kontakt gebildet sind, und kann auch Ausführungsformen enthalten, in denen zusätzliche Strukturelemente zwischen dem ersten Strukturelement und dem zweiten Strukturelement gebildet sein können, sodass das erste Strukturelement und das zweite Strukturelement nicht in direktem Kontakt sein könnten. Zusätzlich werden räumlich relative Ausdrücke wie zum Beispiel „unterer“, „oberer“, „horizontaler“, „vertikaler“, „oberhalb“, „über“, „unterliegend“, „unterhalb“, „aufwärts“, „abwärts“, „oben“, „unten“ usw. wie auch Ableitungen davon (z.B. „horizontal“, „nach unten“, „nach oben“ usw.) zur Erleichterung der vorliegenden Offenbarung einer Beziehung eines Strukturelements zu einem anderen Strukturelement verwendet. Die räumlich relativen Ausdrücke sind beabsichtigt, verschiedene Ausrichtungen der Vorrichtung abzudecken, die die Strukturelemente aufweist. Darüber hinaus, wenn eine Zahl oder ein Bereich von Zahlen mit „etwa“, „annähernd“, „im Wesentlichen“ und dergleichen beschrieben ist, soll der Begriff Zahlen umfassen, die innerhalb eines angemessenen Bereichs liegen, unter Berücksichtigung von Variationen, die an sich während Herstellung entstehen, wie einem Durchschnittsfachmann bekannt ist. Zum Beispiel umfasst die Zahl oder der Bereich von Zahlen einen angemessenen Bereich, der die beschriebene Zahl enthält, wie innerhalb von +/-10% der beschriebenen Zahl, basierend auf bekannten Herstellungstoleranzen, die mit der Herstellung eines Strukturelements mit einer Eigenschaft verbunden sind, die mit der Zahl verbunden ist. Zum Beispiel kann eine Materialschicht mit einer Dicke von „etwa 5 nm“ einen Abmessungsbereich von 4,5 nm bis 5,5 nm aufweisen, wobei dem Durchschnittsfachmann bekannt ist, dass Herstellungstoleranzen, die mit Abscheiden der Materialschicht verbunden sind, +/-10% betragen. In einem anderen Beispiel können zwei Strukturelemente, die laut Beschreibung „im Wesentlichen dieselbe“ Abmessung aufweisen und/oder „im Wesentlichen“ in einer bestimmten Richtung und/oder Konfiguration (z.B. „im Wesentlichen parallel“) ausgerichtet sind, Abmessungsunterschiede zwischen den zwei Strukturelementen und/oder geringfügige Ausrichtungsvarianzen der zwei Strukturelemente von der exakten angegebenen Ausrichtung enthalten, die inhärent, aber nicht absichtlich, aus Herstellungstoleranzen entstehen können, die mit Fertigung der zwei Strukturelemente verbunden sind. Weiter kann die vorliegende Offenbarung Referenznummern und/oder - buchstaben in den unterschiedlichen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit und gibt selbst keine Beziehung zwischen den unterschiedlichen hier beschriebenen Ausführungsformen und/oder Konfigurationen vor.
  • Fortschrittliche IC-Packaging-Technologien wurden entwickelt, um Dichte von ICs, die in viele elektronische Vorrichtungen eingefügt sind, weiter zu verringern und/oder deren Leistungsfähigkeit zu verbessern. Zum Beispiel wurde IC-Packaging so entwickelt, dass mehrere ICs in dreidimensionalen („3D“) Packages oder 2,5D Packages (z.B. Packages, die ein Zwischenelement implementieren) vertikal gestapelt werden können. Durchkontaktierung (auch als Siliziumdurchkontaktierung (TSV) bezeichnet) ist eine Technik zum elektrischen und/oder physischen Verbinden gestapelter ICs. Wenn zum Beispiel ein erster Chip vertikal über einem zweiten Chip gestapelt ist, kann eine TSV gebildet werden, die sich vertikal durch den ersten Chip zu dem zweiten Chip erstreckt. Die TSV verbindet eine erste leitfähige Struktur (z.B. erste Verdrahtung) des ersten Chips elektrisch und/oder physisch mit einer zweiten leitfähigen Struktur (z.B. zweite Verdrahtung) des zweiten Chips. Die TSV ist eine leitfähige Struktur, wie eine Kupferstruktur, und kann sich durch den gesamten ersten Chip zu dem zweiten Chip erstrecken.
  • Ein Schutzring ist häufig um die TSV gebildet, um die TSV zu schützen, die TSV-Leistungsfähigkeit zu verbessern, die TSV-Strukturstabilität zu verbessern, TSV-induziertes Rauschen abzuschirmen und/oder zu verringern , das eine negative Auswirkung auf den ersten Chip und/oder den zweiten Chip haben kann oder Kombinationen davon. Der Schutzring kann gebildet werden, wenn eine Back-End-of-Line-Struktur (BEOL-Struktur) des ersten Chips, wie erste Verdrahtung des ersten Chips, gebildet wird. Die erste Verdrahtung kann über einem ersten Vorrichtungssubstrat des ersten Chips angeordnet und mit diesem verbunden sein und Betrieb und/oder elektrische Kommunikation von Vorrichtungen und/oder Strukturen des ersten Vorrichtungssubstrats erleichtern. Die TSV kann nach Bilden der BEOL-Struktur gebildet werden, zum Beispiel durch Durchätzen einer dielektrischen Schicht der BEOL-Struktur in einem Bereich, der durch den Schutzring definiert ist, und durch das erste Vorrichtungssubstrat, um einen TSV-Graben zu bilden, der den zweiten Chip freilegt, und Füllen des TSV-Grabens mit einem leitfähigen Material. In manchen Ausführungsformen kann der TSV-Graben eine BEOL-Struktur des zweiten Chips freilegen, die über einem zweiten Vorrichtungssubstrat des zweiten Chips angeordnet und mit diesem verbunden sein kann, und Betrieb und/oder elektrische Kommunikation von Vorrichtungen und/oder Strukturen des zweiten Vorrichtungssubstrats erleichtern. In manchen Ausführungsformen erstreckt sich der TSV-Graben von einer Oberseite des ersten Vorrichtungssubstrats zu einer Distanz über einem Boden des ersten Vorrichtungssubstrats. In solchen Ausführungsformen können ein Planarisierungsprozess und/oder ein Schleifprozess am Boden des ersten Vorrichtungssubstrats durchgeführt werden, bis die TSV erreicht ist. Der Planarisierungsprozess und/oder der Schleifprozess können konfiguriert sein, einen Teil der TSV zu entfernen, um eine gewünschte Länge und/oder eine gewünschte Tiefe der TSV im ersten Vorrichtungssubstrat zu erzielen. In manchen Ausführungsformen wird eine oberste Metallisierungsschicht der BEOL-Struktur des ersten Chips vor und/oder nach dem Planarisierungsprozess und/oder dem Schleifprozess gebildet. Die oberste Metallisierungsschicht weist eine Metalldeckschicht der TSV auf, die physisch und/oder elektrisch mit dem Schutzring verbunden ist. In manchen Ausführungsformen wird der erste Chip an dem zweiten Chip nach Bilden der TSV und der obersten Metallisierungsschicht befestigt.
  • Es wurde beobachtet, dass Einsetzen der TSV in den ersten Chip Belastung im ersten Vorrichtungssubstrat des ersten Chips bei einem Grenzflächengebiet zwischen dem ersten Vorrichtungssubstrat und der BEOL-Struktur des ersten Chips erzeugt, insbesondere einem Teil des Grenzflächengebiets, der die TSV und den Schutzring aufweist. Die vorliegende Offenbarung schlägt ein TSV-Design, wie spezifische TSV-Abmessungen, vor, das eine solche Belastung verringern kann. In manchen Ausführungsformen erstreckt sich eine TSV entlang einer ersten Richtung durch ein erstes Substrat, das zum Beispiel eine BEOL-Struktur und ein Vorrichtungssubstrat aufweist. Die TSV hat eine Gesamtlänge entlang der ersten Richtung und eine Breite entlang einer zweiten Richtung, die sich von der ersten Richtung unterscheidet. Die Gesamtlänge ist eine Summe einer ersten Länge eines ersten Teils der TSV und einer zweiten Länge eines zweiten Teils der TSV. Der erste Teil der TSV ist in der BEOL-Struktur angeordnet und erstreckt sich durch diese hindurch und der zweite Teil der TSV ist in dem Vorrichtungssubstrat angeordnet und erstreckt sich durch dieses hindurch. Die erste Länge ist kleiner als die zweite Länge und die Breite ist kleiner als die erste Länge. In manchen Ausführungsformen ist ein Verhältnis der ersten Länge zu der zweiten Länge etwa 0,25 bis etwa 0,5, um Belastung bei einem Grenzflächengebiet der BEOL-Struktur und dem Vorrichtungssubstrat, das die TSV und den Schutzring aufweist, zu minimieren. Ein Verhältnis größer als etwa 0,5 zeigt einen kürzeren und/oder flacheren zweiten Teil der TSV an, der unerwünscht Belastung an einer Grenzfläche zwischen der BEOL-Struktur (insbesondere einer dielektrischen Schicht der BEOL-Struktur) und dem Vorrichtungssubstrat (z.B. ein Halbleitersubstrat des Vorrichtungssubstrats) erhöhen kann. Ein Verhältnis kleiner als etwa 0,25 gibt einen kürzeren und/oder flacheren ersten Teil der TSV an, der Belastung auf der TSV bei dem Grenzflächengebiet erhöhen kann. In manchen Ausführungsformen ist ein Verhältnis der Breite zu der ersten Länge etwa 0,5 bis etwa 2,0. In manchen Ausführungsformen ist die erste Länge größer als etwa 1,5 µm (zum Beispiel, etwa 1,5 µm bis etwa 2,5 µm). In manchen Ausführungsformen ist die Breite größer als etwa 1,5 µm (zum Beispiel, etwa 1,5 µm bis etwa 2,5 µm). In manchen Ausführungsformen ist ein Abstand zwischen der TSV und dem Schutzring etwa 0,2 µm bis etwa 0,5 µm. Einzelheiten der vorgeschlagenen TSV Struktur und/oder der Abmessungen und/oder ihrer Fertigung sind auf den folgenden Seiten beschrieben. Andere Ausführungsformen können andere Vorteile bieten und es ist kein bestimmter Vorteil für eine Ausführungsform erforderlich.
  • 1 ist eine fragmentarische Querschnittsansicht eines Teils oder der Gesamtheit einer Halbleiterstruktur 100 mit einem verbesserten TSV-Design gemäß verschiedenen Aspekten der vorliegenden Offenbarung. 2 ist eine fragmentarische Draufsicht eines Teils oder der Gesamtheit einer Halbleiterstruktur 100 mit dem verbesserten TSV-Design gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Die Querschnittsansicht von 1 verläuft entlang Linie 2-2' von 2 und eine obere Kontaktschicht-TC von Halbleiterstruktur 100, in 1 gezeigt, ist in 2 entfernt. 3 und 4 sind vergrößerte Querschnittsansichten von Teilen von Halbleiterstruktur 100 von 1 und 2 gemäß verschiedenen Aspekten der vorliegenden Offenbarung. 5A-5D sind Draufsichten eines Teils oder der Gesamtheit von Schutzringen und entsprechenden TSVs, die in Halbleiterstruktur 100 von 1 und 2 implementiert werden können, gemäß verschiedenen Aspekten der vorliegenden Offenbarung. 6 ist eine fragmentarische schematische Querschnittsansicht eines Teils oder der Gesamtheit einer Halbleiteranordnung, die Halbleiterstruktur 100 von 1 und 2 aufweist, gemäß verschiedenen Aspekten der vorliegenden Offenbarung. 1, 2, 3, 4, 5A-5D und 6 werden hier zur einfachen Beschreibung und für ein besseres Verständnis gleichzeitig besprochen. 1, 2, 3, 4, 5A-5D und 6 wurden zur Klarheit für ein besseres Verständnis der erfinderischen Konzepte der vorliegenden Offenbarung vereinfacht. Zusätzliche Strukturelemente können in der Halbleiterstruktur 100 hinzugefügt werden und manche der unten beschriebenen Strukturelemente können in anderen Ausführungsformen von Halbleiterstruktur 100 ersetzt, modifiziert oder eliminiert werden.
  • In 1 ist ein Vorrichtungssubstrat 102 mit einer Seite 104 (z.B. eine Vorderseite) und einer Seite 106 (z.B. eine Rückseite), die Seite 104 entgegengesetzt ist, dargestellt. Vorrichtungssubstrat 102 kann eine Schaltungsanordnung (nicht gezeigt) aufweisen, die auf und/oder über Seite 104 durch Front End-of-Line-Bearbeitung (FEOL-Bearbeitung) gefertigt wird. Zum Beispiel kann das Vorrichtungssubstrat 102 verschiedene Vorrichtungskomponenten/Strukturelemente, wie ein Halbleitersubstrat, dotierte Wannen (z.B. n-Wannen und/oder p-Wannen), Isolierstrukturelemente (z.B. Grabenisolierungsstrukturen (STI-Strukturen) und/oder andere geeignete Isolierstrukturen), Metall-Gates (z.B. ein Metall-Gate mit einer Gate-Elektrode und einem Gate-Dielektrikum), Gate-Abstandhalter entlang Seitenwänden des Metall-Gates, Source/Drain-Strukturelemente (z.B. epitaktische Source/Drains), andere geeignete Vorrichtungskomponenten/Strukturelemente oder Kombinationen davon aufweisen. In manchen Ausführungsformen weist Vorrichtungssubstrat 102 einen planaren Transistor auf, wobei ein Kanal des planaren Transistors in dem Halbleitersubstrat zwischen entsprechenden Source/Drains gebildet ist und ein entsprechendes Metall-Gate auf dem Kanal (z.B. auf einem Teil des Halbleitersubstrats, in dem der Kanal gebildet ist) angeordnet ist. In manchen Ausführungsformen weist Vorrichtungssubstrat 102 einen nicht planaren Transistor mit einem Kanal auf, der in einer Halbleiterfinne gebildet ist, die sich von dem Halbleitersubstrat und zwischen entsprechenden Source/Drains auf/in der Halbleiterfinne erstreckt, wobei ein entsprechendes Metall-Gate auf dem Kanal der Halbleiterfinne angeordnet ist und diesen umschließt (d.h. der nicht planare Transistor ist ein finnenartiger Feldeffekttransistor (FinFET)). In manchen Ausführungsformen weist Vorrichtungssubstrat 102 einen nicht planaren Transistor mit Kanälen auf, die in Halbleiterschichten gebildet sind, die über dem Halbleitersubstrat hängen und sich zwischen entsprechenden Source/Drains erstrecken, wobei ein entsprechendes Metall-Gate auf den Kanälen angeordnet ist und die Kanäle umgibt (d.h. der nicht planare Transistor ist ein Gate-all-Around-Transistor (GAA-Transistor)). Die verschiedenen Transistoren von Vorrichtungssubstrat 102 können als planare Transistoren und/oder nicht planare Transistoren konfiguriert sein, abhängig von Designanforderungen.
  • Vorrichtungssubstrat 102 kann verschiedene passive mikroelektronische Vorrichtungen und aktive mikroelektronische Vorrichtungen aufweisen, wie Widerstände, Kondensatoren, Induktoren, Dioden, p-FETs (PFETs), n- FETs (NFETs), Metalloxidhalbleiter (MOS) FETs (MOSFETs), komplementäre MOS-Transistoren (CMOS-Transistoren), bipolare Transistoren (BJTs), seitlich diffundierte MOS-Transistoren (LDMOS-Transistoren), Hochspannungstransistoren, Hochfrequenztransistoren, andere geeignete Komponenten oder Kombinationen davon. Die verschiedenen mikroelektronischen Vorrichtungen können konfiguriert sein, funktionell unterschiedliche Gebiete einer IC bereitzustellen, wie ein Logikgebiet (d.h. ein Kerngebiet), ein Speichergebiet, ein analoges Gebiet, ein peripheres Gebiet (z.B. ein Eingabe/Ausgabe-Gebiet (I/O-Gebiet)), ein Dummy-Gebiet, ein anderes geeignetes Gebiet oder Kombinationen davon. Das Logikgebiet kann mit Standardzellen konfiguriert sein, die jeweils eine Logikvorrichtung und/oder eine Logikfunktion bereitstellen können, wie einen Umrichter, ein AND-Gate, ein NAND-Gate, ein OR-Gate, ein NOR-Gate, ein NOT-Gate, ein XOR-Gate, ein XNOR-Gate, eine andere geeignete Logikvorrichtung oder Kombinationen davon. Das Speichergebiet kann mit Speicherzellen konfiguriert sein, von welchen jede eine Datenspeichervorrichtung und/oder Datenspeicherfunktion bereitstellen kann, wie Flash Speicher, nicht flüchtiger Direktzugriffsspeicher (NVRAM), statischer Direktzugriffsspeicher (SRAM), dynamischer Direktzugriffsspeicher (DRAM), ein anderer flüchtiger Speicher, ein anderer nicht flüchtiger Speicher, ein anderer geeigneter Speicher oder Kombinationen davon. In manchen Ausführungsformen weisen Speicherzellen und/oder Logikzellen Transistoren und Interconnect-Strukturen auf, die kombiniert werden, um Datenspeicher Vorrichtungen/- funktionen beziehungsweise Logikvorrichtungen/-funktionen bereitzustellen.
  • Ein mehrschichtiges Interconnect-Strukturelement (MLI-Strukturelement) 110 ist über Seite 104 von Vorrichtungssubstrat 102 angeordnet. MLI-Strukturelement 110 verbindet verschiedene Vorrichtungen (z.B. Transistoren) und/oder Komponenten von Vorrichtungssubstrat 102 und/oder verschiedene Vorrichtungen (z.B. eine Speichervorrichtung, die im MLI-Strukturelement 110 angeordnet ist) und/oder Komponenten von MLI-Strukturelement 110 elektrisch, sodass die verschiedenen Vorrichtungen und/oder Komponenten wie in den Designanforderungen spezifiziert arbeiten können. MLI-Strukturelement 110 weist eine Kombination aus dielektrischen Schichten und elektrisch leitfähigen Schichten (z.B. strukturierte Metallschichten) auf, die konfiguriert sind, Interconnect- (Routing-) Strukturen zu bilden. Die leitfähigen Schichten bilden vertikale Interconnect-Strukturen, wie Kontakte auf Vorrichtungsebene und/oder Durchkontaktierungen und/oder horizontale Interconnect-Strukturen, wie leitfähige Leitungen. Vertikale Interconnect-Strukturen verbinden typischerweise horizontale Interconnect-Strukturen in verschiedenen Schichten/Niveaus (oder verschiedenen Ebenen) von MLI-Strukturelement 110. Während des Betriebs können die Interconnect-Strukturen elektrische Signale zwischen Vorrichtungen und/oder Komponenten von Vorrichtungssubstrat 102 und/oder MLI-Strukturelement 110 leiten und/oder elektrische Signale (zum Beispiel Taktsignale, Spannungssignale und/oder Massesignale) zu den Vorrichtungen und/oder den Vorrichtungskomponenten von Vorrichtungssubstrat 102 und/oder MLI-Strukturelement 110 verteilen. Obwohl das MLI-Strukturelement 110 mit einer bestimmten Anzahl von dielektrischen Schichten und Metallschichten dargestellt ist, zieht die vorliegende Offenbarung in Betracht, dass das MLI-Strukturelement 110 mehr oder weniger dielektrische Schichten und/oder Metallschichten aufweist.
  • MLI-Strukturelement 110 kann eine Schaltungsanordnung aufweisen, die auf und/oder über Seite 104 durch Back End-of-Line-Berarbeitung (BEOL-Bearbeitung) gefertigt wird und somit auch als eine BEOL-Struktur bezeichnet werden kann. MLI-Strukturelement 110 weist eine Interconnect-Schicht auf n-Ebene, eine Interconnect-Schicht auf (n+x)-Ebene und Zwischen-Interconnect-Schicht(en) dazwischen auf (d.h. eine Interconnect-Schicht auf (n+1)-Ebene, eine Interconnect-Schicht auf (n+2)-Ebene und so weiter), wobei n eine ganze Zahl größer als oder gleich 1 ist und x eine ganze Zahl größer als oder gleich 1 ist. Jede Interconnect-Schicht auf n-Ebene bis Interconnect-Schicht auf (n+x)-Ebene weist eine entsprechende Metallisierungsschicht und eine entsprechende Durchkontaktierungsschicht auf. Zum Beispiel weist Interconnect-Schicht auf n-Ebene eine entsprechende n-Durchkontaktierungsschicht (bezeichnet als Vn) und eine entsprechende n-Metallisierungsschicht (bezeichnet als Mn) über n-Durchkontaktierungsschicht auf, Interconnect-Schicht auf (n+1)-Ebene weist eine entsprechende (n+1)-Durchkontaktierungsschicht (bezeichnet als Vn+1) und eine entsprechende (n+1)-Metallisierungsschicht (bezeichnet als Mn+1) über (n+1)-Durchkontaktierungsschicht auf und so weiter für die Zwischenschichten bis Interconnect-Schicht auf (n+x)-Ebene, die eine entsprechende (n+x)-Durchkontaktierungsschicht (bezeichnet als Vn+x) und eine (n+x)-Metallisierungsschicht (bezeichnet als Mn+x) über (n+x)-Durchkontaktierungsschicht aufweist. In der dargestellten Ausführungsform ist n gleich 1, x gleich 9, und MLI-Strukturelement 110 weist zehn Interconnect-Schichten auf, wie eine Interconnect-Schicht erster Ebene, die eine V1-Schicht und eine M1-Schicht aufweist, Interconnect-Schicht zweiter Ebene, die eine V2-Schicht und eine M2-Schicht aufweist, und so weiter bis zu einer Interconnect-Schicht zehnter Ebene, die eine V10-Schicht und eine M10-Schicht aufweist. Jede Durchkontaktierungsschicht verbindet physisch und/oder elektrisch eine darunterliegende Metallisierungsschicht und eine darüberliegende Metallisierungsschicht, eine darunterliegende Kontaktschicht auf Vorrichtungsebene (z.B. eine Middle End-of-Line-Interconnect-Schicht (MEOL-Interconnect-Schicht, wie eine Mo-Schicht) und eine darüberliegende Metallisierungsschicht, ein darunterliegendes Vorrichtungsstrukturelement (z.B. eine Gate-Elektrode eines Gates oder einer Source/Drain) und eine darüberliegende Metallisierungsschicht oder eine darunterliegende Metallisierungsschicht und eine darüberliegende obere Kontaktschicht. Zum Beispiel liegt V2-Schicht zwischen M1-Schicht und M2-Schicht, ist physisch damit verbunden und elektrisch damit verbunden. In einem anderen Beispiel liegt V1-Schicht zwischen M1-Schicht und einer darunterliegenden Kontaktschicht auf Vorrichtungsebene und/oder einem darunterliegenden Vorrichtungsstrukturelement, ist physisch damit verbunden und elektrisch damit verbunden. In manchen Ausführungsformen sind die Metallisierungsschichten und die Durchkontaktierungsschichten weiter mit Vorrichtungssubstrat 102 elektrisch verbunden. Zum Beispiel ist eine erste Kombination aus Metallisierungsschichten und Durchkontaktierungsschichten elektrisch mit einem Gate eines Transistors von Vorrichtungssubstrat 102 verbunden und eine zweite Kombination aus Metallisierungsschichten und Durchkontaktierungsschichten ist elektrisch mit einer/einem Source/Drain des Transistors verbunden, sodass Spannungen an das Gate und/oder die/den Source/Drain angelegt werden können.
  • MLI-Strukturelement 110 weist eine dielektrische Schicht 115 mit Metallleitungen 116, Durchkontaktierungen 118, anderen leitfähigen Strukturelementen oder Kombinationen davon auf, die darin angeordnet sind. Jede von Mn-Metallisierungsschicht bis Mn+x-Metallisierungsschicht weist eine strukturierte Metallschicht (d.h. eine Gruppe von Metallleitungen 116, die in einer gewünschten Struktur angeordnet ist) in einem entsprechenden Teil der dielektrischen Schicht 115 auf. Jede von Vn-Durchkontaktierungsschicht bis Vn+x-Durchkontaktierungsschicht weist eine strukturierte Metallschicht (d.h. eine Gruppe von Durchkontaktierungen 118, die in einer gewünschten Struktur angeordnet ist) in einem entsprechenden Teil von dielektrischer Schicht 115 auf. Die dielektrische Schicht 115 enthält ein dielektrisches Material, wie Siliziumoxid, Tetraethylorthosilicatoxid (TEOS-Oxid), Phosphosilicatglas (PSG), bordotiertes Silicatglas (BSG), bordotiertes PSG (BPSG), dielektrisches Low-k-Material (mit zum Beispiel einer Dielektrizitätskonstante. die kleiner ist als eine Dielektrizitätskonstante von Siliziumoxid (z.B. k < 3,9)), ein anders geeignetes dielektrisches Material oder Kombinationen davon. Beispielhafte dielektrische Low-k-Materialien enthalten Fluorsilicatglas (FSG), kohlenstoffdotiertes Oxid, Black Diamond® (Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, Benzocyclobuten (BCB), SiLK (Dow Chemical, Midland, Michigan), Polyimid, anderes dielektrisches Low-k-Material oder Kombinationen davon. In manchen Ausführungsformen enthält die dielektrische Schicht 115 ein dielektrisches Low-k-Material, wie kohlenstoffdotiertes Oxid, oder ein dielektrisches Extrem-Low-k-Material (z.B. k ≤ 2,5), wie poröses kohlenstoffdotiertes Oxid.
  • Die dielektrische Schicht 115 kann eine mehrschichtige Struktur aufweisen. Zum Beispiel weist die dielektrische Schicht 115 mindestens eine dielektrische Interlevel-Schicht (ILD-Schicht), mindestens eine Kontaktätzstoppschicht (CESL), die zwischen entsprechenden ILD-Schichten angeordnet ist, und mindestens eine CESL, die zwischen einer entsprechenden ILD-Schicht und Vorrichtungssubstrat 102 angeordnet ist, auf. In solchen Ausführungsformen unterscheidet sich ein Material der CESL von einem Material der ILD-Schicht. Wenn zum Beispiel die ILD-Schicht ein dielektrisches Low-k-Material enthält, kann die CESL Silizium und Stickstoff (z.B. Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbonitrid oder Kombinationen davon) oder ein anderes geeignetes dielektrisches Material enthalten. Die ILD-Schicht und/oder die CESL können eine mehrschichtige Struktur mit mehreren dielektrischen Materialien aufweisen. In manchen Ausführungsformen weist jede von Interconnect-Schicht auf n-Ebene bis Interconnect-Schicht auf (n+x)-Ebene eine entsprechende ILD-Schicht und/oder eine entsprechende CESL von dielektrischer Schicht 115 auf und entsprechende Metallleitungen 116 und Durchkontaktierungen 118 befinden sich in der entsprechenden ILD-Schicht und/oder der entsprechenden CESL. In manchen Ausführungsformen weist jede von Mn-Schicht bis Mn+x-Schicht eine entsprechende ILD-Schicht und/oder eine entsprechende CESL von dielektrischer Schicht 115 auf, wobei sich entsprechende Metallleitungen 116 in der entsprechenden ILD-Schicht und/oder der entsprechenden CESL befinden. In manchen Ausführungsformen weist jede von Vn-Schicht bis Vn+x-Schicht eine entsprechende ILD-Schicht und/oder eine entsprechende CESL von dielektrischer Schicht 115 auf, wobei sich entsprechende Durchkontaktierungen 118 in der entsprechenden ILD-Schicht und/oder der entsprechenden CESL befinden.
  • Eine Oberseitenkontaktschicht (TC-Schicht) ist über MLI-Strukturelement 110 angeordnet und ist in der dargestellten Ausführungsform über einer obersten Metallisierungsschicht von MLI-Strukturelement 110 (d.h. M10-Schicht) angeordnet. TC-Schicht weist strukturierte Metallschichten in einem entsprechenden Teil von dielektrischer Schicht 115 auf. Zum Beispiel weist TC-Schicht eine Kontaktschicht auf, die Kontakte 120 und einen Kontakt 122 aufweist, die in einer gewünschten Struktur angeordnet sind, und eine Durchkontaktierungsschicht, die Durchkontaktierungen 124 aufweist, die in einer gewünschten Struktur angeordnet sind. Die Durchkontaktierungsschicht (z.B. Durchkontaktierungen 124) verbindet die Kontaktschicht (z.B. Kontakte 120 und Kontakt 122) physisch und/oder elektrisch mit MLI-Strukturelement 110 (z.B. Metallleitungen 116 von Mn+x-Schicht). Kontakte 120 und/oder Kontakt 122 können elektrische Verbindung von MLI-Strukturelement 110 und/oder Vorrichtungssubstrat 102 mit einer externen Schaltungsanordnung erleichtern und können somit als externe Kontakte bezeichnet werden. In manchen Ausführungsformen sind Kontakte 120 und/oder Kontakt 122 Under-Bump Metallization-Strukturen (UBM-Strukturen). In manchen Ausführungsformen weist die dielektrische Schicht 115 mindestens eine Passivierungsschicht auf, wie eine Passivierungsschicht, die über der obersten Metallisierungsschicht von MLI-Strukturelement 110 (z.B. M10-Schicht) angeordnet ist. In solchen Ausführungsformen kann die TC-Schicht die Passivierungsschicht aufweisen, wobei Kontakte 120, Kontakt 122 und Durchkontaktierungen 124 in der Passivierungsschicht angeordnet sind. Die Passivierungsschicht enthält ein Material, das sich von einem dielektrischen Material einer darunterliegenden ILD-Schicht von MLI-Strukturelement 110 unterscheidet. In manchen Ausführungsformen enthält die Passivierungsschicht Polyimid, undotiertes Silicatglas (USG), Siliziumoxid, Siliziumnitrid, ein anderes geeignetes Passivierungsmaterial oder Kombinationen davon. In manchen Ausführungsformen ist eine Dielektrizitätskonstante eines dielektrischen Materials der Passivierungsschicht größer als eine Dielektrizitätskonstante einer obersten ILD-Schicht von MLI-Strukturelement 110. Die Passivierungsschicht kann eine mehrschichtige Struktur mit mehreren dielektrischen Materialien aufweisen. Zum Beispiel kann die Passivierungsschicht eine Siliziumnitridschicht und eine USG Schicht enthalten.
  • Metallleitungen 116, Durchkontaktierungen 118, Kontakte 120, Kontakt 122 und Durchkontaktierungen 124 enthalten ein leitfähiges Material, enthaltend zum Beispiel Aluminium, Kupfer, Titan, Tantal, Wolfram, Ruthenium, Kobalt, Iridium, Palladium, Platin, Nickel, Zinn, Gold, Silber, andere geeignete Metalle, Legierungen davon, Silicide davon oder Kombinationen davon. In manchen Ausführungsformen enthalten Metallleitungen 116, Durchkontaktierungen 118, Kontakte 120, Kontakt 122, Durchkontaktierungen 124 oder Kombinationen davon eine Bulk-Metallschicht (auch als eine Metallfüllschicht, ein leitfähiger Stopfen, ein Metallstopfen oder Kombinationen davon bezeichnet). In manchen Ausführungsformen weisen Metallleitungen 116, Durchkontaktierungen 118, Kontakte 120, Kontakt 122, Durchkontaktierungen 124 oder Kombinationen davon eine Sperrschicht, eine Adhäsionsschicht und/oder andere geeignete Schicht auf, die zwischen der Bulk-Metallschicht und dielektrischen Schicht 115 angeordnet ist. Die Sperrschicht kann Titan, Titanlegierung (z.B. TiN), Tantal, Tantallegierung (z.B. TaN), ein anderes geeignetes Sperrmaterial (z.B. ein Material, das Diffusion von Metallbestandteilen aus Metallleitungen 116, Durchkontaktierungen 118, Kontakten 120, Kontakt 122, Durchkontaktierungen 124 oder Kombinationen davon in die dielektrische Schicht 115 verhindern kann) oder Kombinationen davon enthalten. In manchen Ausführungsformen enthalten Metallleitungen 116, Durchkontaktierungen 118, Kontakte 120, Kontakt 122, Durchkontaktierungen 124 oder Kombinationen davon verschiedene Metallmaterialien. Zum Beispiel können untere Metallleitungen 116 und/oder Durchkontaktierungen 118 von MLI-Strukturelement 110 Wolfram, Ruthenium, Kobalt oder Kombinationen davon enthalten, während höhere Metallleitungen 116 und/oder Durchkontaktierungen 118 von MLI-Strukturelement 100 Kupfer enthalten können. In manchen Ausführungsformen enthalten Metallleitungen 116, Durchkontaktierungen 118, Kontakte 120, Kontakt 122, Durchkontaktierungen 124 oder Kombinationen davon dieselben Metallmaterialien.
  • Jede Metallisierungsschicht ist eine strukturierte Metallschicht mit Metallleitungen 116, wobei die strukturierte Metallschicht eine entsprechende Teilung aufweist. Metallisierungsschichten von MLI-Strukturelement 110 können somit nach ihren entsprechenden Teilungen gruppiert werden. Eine Teilung einer strukturierten Metallschicht bezieht sich im Allgemeinen auf eine Summe einer Breite von Metallleitungen (z.B. Metallleitungen 116) der strukturierten Metallschicht und eines Abstands zwischen direkt benachbarten Metallleitungen der strukturierten Metallschicht (d.h. eine seitliche Distanz zwischen Rändern direkt benachbarter Metallleitungen 116 der strukturierten Metallschicht). In manchen Ausführungsformen ist eine Teilung der strukturierten Metallschicht eine seitliche Distanz zwischen Mittelpunkten direkt benachbarter Metallleitungen 116 der strukturierten Metallschicht. Metallisierungsschichten mit einer selben Teilung können gemeinsam gruppiert werden. Zum Beispiel weist in 1 MLI-Strukturelement 110 einen Satz 18A von Metallisierungsschichten (z.B. M1-Schicht bis M8-Schicht) mit einer Teilung P1 und einen Satz 18B von Metallisierungsschichten (z.B. M9-Schicht und M10-Schicht) mit einer Teilung P2 auf. Teilung P1 und Teilung P2 sind verschieden. In der dargestellten Ausführungsform ist Teilung P1 kleiner als Teilung P2, sodass Teilung von Metallisierungsschichten in der dielektrischen Schicht 115 mit zunehmender Distanz zwischen den Metallisierungsschichten und der Vorderseite 104 von Vorrichtungssubstrat 102 zunimmt. Die vorliegende Offenbarung zieht andere Variationen von Teilung P1, Teilung P2 und/oder Teilung P3 in Betracht (z.B. ist Teilung P1 größer als Teilung P2 und Teilung P3). MLI-Strukturelement 110 kann eine beliebige Anzahl von Metallisierungsschichtsätzen (Gruppen) mit unterschiedlichen Teilungen aufweisen, abhängig vom IC-Technologieknoten und/oder IC-Erzeugung (z.B. 20 nm, 5 nm usw.). In manchen Ausführungsformen weist das MLI-Strukturelement 110 drei Sätze bis sechs Sätze von Metallisierungsschichten mit unterschiedlichen Teilungen auf.
  • Eine Substratdurchkontaktierung (TSV) 130 (auch als eine Siliziumdurchkontaktierung oder eine Halbleiterdurchkontaktierung bezeichnet) ist in der dielektrischen Schicht 115 angeordnet. TSV 130 ist mit einem entsprechenden Kontakt 122 physisch und/oder elektrisch verbunden, der auch mit einem Schutzring 140 physisch und elektrisch verbunden ist. In 1 verbindet eine entsprechende Durchkontaktierung 124 der TC-Schicht physisch und elektrisch TSV 130 mit Kontakt 122. Die entsprechende Durchkontaktierung 124 kann ein Teil von TSV 130 sein, der gebildet wird, wenn die TSV 130 gebildet wird oder wenn die TC-Schicht gebildet wird. TSV 130 erstreckt sich von Kontakt 122, durch die dielektrische Schicht 115 und durch Vorrichtungssubstrat 102. TSV 130 erstreckt sich von Seite 104 zu Seite 106 von Vorrichtungssubstrat 102, sodass TSV 130 sich vollständig durch Vorrichtungssubstrat 102 erstreckt. TSV 130 hat eine Gesamtlänge H (die auch als eine Höhe von TSV 130 bezeichnet werden kann) entlang der z-Richtung. Gesamtlänge H ist zwischen Kontakt 122 und Seite 106 von Vorrichtungssubstrat 102. Gesamtlänge H ist eine Summe einer Länge von TSV 130 in der dielektrischen Schicht 115 (z.B. eine Länge (und/oder Höhe) Ha) und einer Länge von TSV 130 in Vorrichtungssubstrat 102 (z.B. eine Länge (und/oder Höhe) Hb). TSV 130 hat auch eine Breite DTSV entlang der x-Richtung. In der dargestellten Ausführungsform hat TSV 130 eine kreisförmige Form in einer Draufsicht (2 und 5A) und Breite DTSV stellt einen Durchmesser von TSV 130 dar. In solchen Ausführungsformen kann TSV 130 eine zylindrische Struktur sein, die sich durch dielektrische Schicht 115 erstreckt. TSV 130 kann andere Formen in einer Draufsicht aufweisen, wie eine quadratische Form, eine Rhombusform, eine Trapezform, eine hexagonale Form, eine oktagonale Form oder andere geeignete Form. In manchen Ausführungsformen ist Breite DTSV im Wesentlichen entlang Länge H von TSV 130 (z.B. entlang der z-Richtung) dieselbe. In manchen Ausführungsformen variiert Breite DTSV entlang Länge H. Zum Beispiel hat in 1 die TSV 130 leicht konisch verjüngte Seitenwände, sodass Breite DTSV von einer Oberseite von TSV 130 (die an Kontakt 122 angrenzt) zu einem Boden von TSV 130 (bei Seite 106 von Vorrichtungssubstrat 102) abnimmt. In manchen Ausführungsformen nimmt Breite DTSV entlang Länge Ha in der dielektrischen Schicht 115 zu oder ab, ist aber im Wesentlichen entlang Länge Hb in Vorrichtungssubstrat 102 dieselbe oder umgekehrt. Die vorliegende Offenbarung zieht eine TSV 130 mit einer beliebigen Variation von Breite DTSV entlang ihrer Länge H in Betracht, abhängig von einer Seitenwandkonfiguration (z.B. konisch verjüngte Seitenwände, im Wesentlichen vertikale Seitenwände, nicht lineare Seitenwände (mit zum Beispiel einem oder mehreren krummlinigen Segmenten), Seitenwände mit einem abgestuften Profil, Seitenwände mit anderen geeigneten Profilen oder Kombinationen davon).
  • TSV 130 enthält ein leitfähiges Material, enthaltend zum Beispiel, Aluminium, Kupfer, Titan, Tantal, Wolfram, Ruthenium, Kobalt, Iridium, Palladium, Platin, Nickel, Zinn, Gold, Silber, andere geeignete Metalle, Legierungen davon, Silicide davon oder Kombinationen davon. In manchen Ausführungsformen weist TSV 130 eine Bulk-Metallschicht (auch als eine Metallfüllschicht, ein leitfähiger Stopfen, ein Metallstopfen bezeichnet oder Kombinationen davon) und eine Sperrschicht auf, wobei die Sperrschicht zwischen der Bulk-Metallschicht und der dielektrischen Schicht 115 angeordnet ist. Die Sperrschicht kann Titan, Titanlegierung (z.B. TiN), Tantal, Tantallegierung (z.B. TaN), anderes geeignetes Sperrmaterial (z.B. ein Material, das Diffusion von Metallbestandteilen von TSV 130 in die dielektrische Schicht 115 verhindern kann) oder Kombinationen davon. In manchen Ausführungsformen ist die Bulk-Metallschicht ein Kupferstopfen oder ein Wolframstopfen und die Sperrschicht ist eine Metallnitridschicht (z.B. TaN-Schicht oder TiN-Schicht). In manchen Ausführungsformen weist die Bulk-Metallschicht eine Keimschicht zwischen der Sperrschicht und dem Metallstopfen auf. Die Keimschicht kann Kupfer, Wolfram, andere geeignete Metalle (wie die hier beschriebenen), Legierungen davon oder Kombinationen davon enthalten. In manchen Ausführungsformen weist die TSV 130 eine dielektrische Auskleidung zwischen der Bulk-Metallschicht oder der Sperrschicht und der dielektrischen Schicht 115 auf. Die dielektrische Auskleidung enthält Siliziumoxid, Siliziumnitrid, anderes geeignetes dielektrisches Material oder Kombinationen davon. Die Bulk-Metallschicht, die Sperrschicht, die Keimschicht, die dielektrische Auskleidung oder Kombinationen davon können eine mehrschichtige Struktur aufweisen. In manchen Ausführungsformen enthält TSV 130 Polysilizium (z.B. ist der Metallstopfen ein Polysiliziumstopfen).
  • Verschiedene Wärmeausdehnungskoeffizienten (CTE) von TSV 130, Schutzring 140, Isolierschichten (z.B. dielektrische Schicht 115 und/oder dielektrische Auskleidung von TSV 130) und Vorrichtungssubstrat 102 (z.B. Siliziumsubstrat von Vorrichtungssubstrat 102, das die TSV 130 umgibt) können thermische Belastungen und/oder mechanische Belastungen innerhalb der und/oder um die TSV 130 auslösen, die Zuverlässigkeit der TSV 130 und somit Zuverlässigkeit der Halbleiterstruktur 100 beeinträchtigen. Solche Belastungen können während und/oder nach Fertigung der TSV 130 entstehen und liegen insbesondere vor, wenn die TSV 130 eine metallgefüllte TSV, wie eine Kupfer-TSV, ist. Zum Beispiel können Temperaturunterschiede, die eine Halbleiterstruktur 100 während und/oder nach Fertigung erfährt, Strukturänderungen (z.B. Änderungen von Korngröße und/oder Korngrenze) verursachen und/oder thermomechanische Belastungen in der TSV 130 und/oder Halbleiterstruktur 100 auslösen. Die Strukturänderungen und/oder die thermomechanischen Belastungen können Rissbildung in der TSV 130, der dielektrischen Schicht 115 und/oder dem Vorrichtungssubstrat 102 auslösen. Die Strukturänderungen und/oder die thermomechanischen Belastungen können Trennen der TSV 130 und dielektrischen Schicht 115 und/oder Trennen der TSV 130 und des Vorrichtungssubstrats 102 verursachen. Mit anderen Worten, Ablösung kann an den Metall/Dielektrikum-Grenzflächen (z.B. TSV 130/dielektrische Schicht 115), Metall/Halbleiter-Grenzflächen (z.B. TSV 130/Halbleitersubstrat von Vorrichtungssubstrat 102), Halbleiter/Dielektrikum-Grenzflächen (z.B. Halbleitersubstrat von Vorrichtungssubstrat 102/dielektrische Schicht 115) oder Kombinationen davon von Halbleiterstruktur 100 auftreten.
  • Die vorliegende Offenbarung erkennt, dass die TSV-Einsetztiefe Auswirkungen auf ein Ausmaß einer Belastung, die in der Halbleiterstruktur 100 erzeugt wird, und eine Verteilung einer solchen Belastung in der Halbleiterstruktur 100, insbesondere bei einem Grenzflächengebiet IF, hat. Die vorliegende Offenbarung schlägt daher ein Abstimmen der TSV-Einsetztiefe vor, um Belastung zu verringern und/oder zu eliminieren, die von der, innerhalb der und/oder um die TSV 130, das Vorrichtungssubstrat 102, den Schutzring 140, die Halbleiterstruktur 100 oder Kombinationen davon erzeugt wird. Zum Beispiel ist Länge Ha kleiner als Länge Hb konfiguriert, um eine TSV-Einsetztiefe in der dielektrischen Schicht 115 bereitzustellen, die kleiner als eine TSV-Einsetztiefe in Vorrichtungssubstrat 102 (d.h. in Silizium) ist. Konfigurieren der Halbleiterstruktur 100 mit einer geringeren TSV-Einsetztiefe in der dielektrischen Schicht 115 verglichen mit dem Vorrichtungssubstrat 102 kann Belastungsverteilung verbessern und/oder Belastung von der, innerhalb der und/oder um die TSV 130, das Vorrichtungssubstrat 102, den Schutzring 140, eine andere Komponente der Halbleiterstruktur 100 oder Kombinationen davon verringern. Die geringere TSV-Einsetztiefe in der dielektrischen Schicht 115 verglichen mit dem Vorrichtungssubstrat 102 kann auch eine Auswirkung einer solchen Belastung auf die TSV 130, das Vorrichtungssubstrat 102, den Schutzring 140, eine andere Komponente der Halbleiterstruktur 100 oder Kombinationen davon verringern.
  • Wenn ein Verhältnis von Länge Ha zu Länge Hb zunimmt (das einer TSV-Einsetztiefe von TSV 130 in Vorrichtungssubstrat 102 entspricht, die abnimmt, während eine TSV-Einsetztiefe von TSV 130 in der dielektrischen Schicht 115 zunimmt), neigt die Belastung dazu, sich an Grenzflächen zwischen Vorrichtungssubstrat 102 und dielektrischer Schicht 115 (d.h. Silizium/Dielektrikum-Grenzflächen) zu konzentrieren. Wenn das Verhältnis von Länge Ha zu Länge Hb abnimmt (was einer TSV-Einsetztiefe von TSV 130 in Vorrichtungssubstrat 102 entspricht, die zunimmt, während eine TSV-Einsetztiefe von TSV 130 in der dielektrischen Schicht 115 abnimmt), neigt die Belastung dazu, sich auf der TSV 130 zu konzentrieren. Unter Berücksichtigung dessen ist in der dargestellten Ausführungsform die TSV 130 mit einem Verhältnis von Länge Ha zu Länge Hb konfiguriert, das etwa 0,25 bis etwa 0,5 (d.h. 0,5 ≥ Ha/Hb ≥ 0,25) ist, um die TSV-Einsetztiefe zu optimieren, Belastung in Halbleiterstruktur 100 zu minimieren, Belastungsverteilung in Halbleiterstruktur 100 (insbesondere bei Grenzflächengebiet IF) zu optimieren, oder Kombinationen davon. Zum Beispiel stellen Verhältnisse von Länge Ha zu Länge Hb, die größer als 0,5 (d.h. Ha/Hb > 0,5) sind, eine relativ geringe TSV-Einsetztiefe in Vorrichtungssubstrat 102 bereit, die unerwünscht Spannung an Grenzflächen zwischen Vorrichtungssubstrat 102 und dielektrischer Schicht 115 erhöht und/oder verteilt, während Verhältnisse von Länge Ha zu Länge Hb, die kleiner als 0,25 (d.h. Ha/Hb < 0,25) sind, eine relativ tiefe TSV-Einsetztiefe in Vorrichtungssubstrat 102 (d.h. ein Bulk (Großteil) von TSV 130 befindet sich in Vorrichtungssubstrat 102) bereitstellen, die unerwünscht Belastungen auf TSV 130 erhöht und/oder verteilt. Verhältnisse von Länge Ha zu Länge Hb, die etwa 0,25 bis etwa 0,5 sind, gleichen somit eine Belastung von, innerhalb und/oder um TSV 130 und von, innerhalb und/oder um Grenzflächen zwischen Vorrichtungssubstrat 102 und dielektrischer Schicht 115 aus. Wenn TSV-Gräben für TSVs, wie TSV 130, auf einem Wafer gebildet werden, stellen Verhältnisse von Länge Ha zu Länge Hb, die etwa 0,25 bis etwa 0,5 sind, verbesserte Ätzprozesskontrolle bereit, wodurch TSV-Gräben (und somit anschließend gebildete TSVs) mit Abmessungen, wie Tiefen und/oder Breiten, bereitgestellt werden, die im Wesentlichen über den Wafer gleichförmig sind. Verhältnisse von Länge Ha zu Länge Hb, die kleiner als 0,25 und/oder größer als 0,5 sind, stellen weniger (und manchmal schlechte) Ätzprozesskontrolle bereit, die dazu führt, dass TSV-Gräben (und anschließend gebildete TSVs) unterschiedliche Abmessungen über einen Wafer und/oder Abmessungen haben, die sich von vordefinierten Abmessungen für die Gräben unterscheiden.
  • TSV 130 ist auch mit einem Verhältnis von Breite DTSV zu Länge Ha konfiguriert, das etwa 0,5 bis etwa 2 (d.h. 2 ≥ DTSV/Ha ≥ 0,5) ist, um Ätzprozesskontrolle zu optimieren, TSV-Einsetztiefe zu optimieren, Belastung in Halbleiterstruktur 100 zu minimieren, Belastungsverteilung in Halbleiterstruktur 100 (insbesondere bei Grenzflächengebiet IF) zu optimieren, oder Kombinationen davon. Verhältnisse von Breite DTSV zu Länge Ha, die kleiner als 0,5 und/oder größer als 2 sind, stellen weniger (und manchmal schlechte) Ätzprozesskontrolle bereit, was zu TSV-Gräben (und anschließend gebildeten TSVs) mit unterschiedlichen Abmessungen über einen Wafer und/oder Abmessungen, die sich von vordefinierten Abmessungen für die Gräben unterscheiden, führt. In manchen Ausführungsformen ist Breite DTSV größer als etwa 1,5 µm. Zum Beispiel ist Breite DTSV etwa 1,5 µm bis etwa 2,5 µm (d.h. 2,5 ≥ DTSV ≥ 1,5), um Ätzprozesskontrolle zu optimieren, durch Ätzen herbeigeführte Defekte zu minimieren, oder Kombinationen davon. In manchen Ausführungsformen ist Länge Ha größer als etwa 1,5 µm. Zum Beispiel ist Länge Ha etwa 1,5 µm bis etwa 2,5 µm (d.h. 2,5 ≥ Ha ≥ 1,5), um Belastung von, innerhalb und/oder um TSV 130 auszugleichen, Belastung von, innerhalb und/oder um Grenzflächen zwischen Vorrichtungssubstrat 102 und dielektrischer Schicht 115 auszugleichen, Ätzprozesskontrolle zu optimieren, oder Kombinationen davon. Breiten DTSV und/oder Längen Ha, die kleiner als 1,5 µm und/oder größer als 2,5 µm sind, können weniger (und manchmal schlechte) Ätzprozesskontrolle bereitstellen, was zu TSV-Gräben (und anschließend gebildeten TSVs) mit unterschiedlichen Abmessungen über einen Wafer und/oder Abmessungen, die sich von vordefinierten Abmessungen für die TSV-Gräben unterscheiden, führt. Breiten DTSV, die kleiner als 1,5 µm sind, können zu TSV-Gräben mit Aspektverhältnissen führen (d.h. ein Verhältnis einer Höhe (Länge) eines Grabens zu einer Breite eines Grabens), die zu groß sind, wodurch Ätzprozesskontrolle verringert und/oder Metallfüllvermögen verschlechtert werden können (d.h. es kann schwierig sein, TSV-Gräben mit Aspektverhältnissen, die zu groß sind, angemessen mit leitfähigem Material zu füllen, was zu TSVs mit Luftspalten und/oder Poren darin führt). Breiten DTSV, die größer als 2,5 µm sind, können zu offenen Bereichen führen (d.h. freigelegte Teile der dielektrischen Schicht 115 und/oder des Vorrichtungssubstrats 102, wenn TSV-Gräben gebildet werden), die Anfälligkeit der Halbleiterstruktur 100 für durch Ätzen herbeigeführte Defekte erhöhen, wie Mikromaskierung (z.B. wo gesputtertes Maskenmaterial und/oder Ätzreaktionsnebenprodukte sich wieder auf einem Wafer abscheiden und während des Ätzens als Mikromaske dienen und/oder Überbrückungsdefekte verursachen).
  • Schutzring 140 ist in der dielektrischen Schicht 115 und um TSV 130 angeordnet. Schutzring 140 erstreckt sich durch dielektrische Schicht 115 von der TC-Schicht zu Seite 104 von Vorrichtungssubstrat 102. Schutzring 140 ist physisch und/oder elektrisch mit der TC-Schicht verbunden. Zum Beispiel verbinden Durchkontaktierungen 124 physisch und elektrisch Schutzring 140 mit Kontakt 122, der, wie oben festgehalten, auch physisch und/oder elektrisch mit TSV 130 verbunden ist. Schutzring 140 kann mit dem Vorrichtungssubstrat 102 physisch und/oder elektrisch verbunden sein. Zum Beispiel kann eine MEOL-Schicht (d.h. Kontakte auf Vorrichtungsebene und/oder Durchkontaktierungen) physisch und/oder elektrisch den Schutzring 140 mit dem Vorrichtungssubstrat 102, wie mit einem dotierten Gebiet (z.B. eine n-Wanne und/oder eine p-Wanne) in Vorrichtungssubstrat 102 verbinden. In manchen Ausführungsformen ist Schutzring 140 mit einer Spannung elektrisch verbunden. In manchen Ausführungsformen ist Schutzring 140 mit einer elektrischen Masse elektrisch verbunden. In manchen Ausführungsformen ist Schutzring 140 konfiguriert, TSV 130 elektrisch von MLI-Strukturelement 110, Vorrichtungssubstrat 102, anderen Vorrichtungsstrukturelementen und/oder Vorrichtungskomponenten oder Kombinationen davon zu isolieren. In manchen Ausführungsformen absorbiert Schutzring 140 thermomechanische Belastung von, innerhalb und/oder um TSV 130. In manchen Ausführungsformen verringert Schutzring 140 thermomechanische Belastung von, innerhalb und/oder um TSV 130. In manchen Ausführungsformen verringert oder eliminiert Schutzring 140 Rissbildung und/oder Ablösung in Halbleiterstruktur 100. In manchen Ausführungsformen stellt Schutzring 140 strukturelle Stütze, Integrität, Verstärkung oder Kombinationen davon für TSV 130 bereit.
  • Schutzring 140 hat eine Breite Db entlang der x-Richtung. Aus einer Draufsicht ( 2 und 5A) ist Schutzring 140 ein kreisförmiger Ring um TSV 130 und Schutzring 140 erstreckt sich kontinuierlich um TSV 130. In solchen Ausführungsformen stellt Breite Db einen Innendurchmesser von Schutzring 140 dar. In manchen Ausführungsformen hat Schutzring 140 andere Formen in einer Draufsicht, wie jene, die in 5B-5D dargestellt sind. Zum Beispiel kann Schutzring 140 ein quadratischer Ring (5B), ein hexagonaler Ring (5C), ein oktogonaler Ring (5D) oder ein anderer, geeignet geformter Ring sein. In manchen Ausführungsformen ist Schutzring 140 diskontinuierlich (z.B. ein Ring, der aus einzelnen Segmenten gebildet ist).
  • Schutzring 140 ist von TSV 130 durch dielektrische Schicht 115 getrennt. Ein Abstand S (auch als eine Distanz bezeichnet) entlang der x-Richtung befindet sich zwischen Schutzring 140 und TSV 130. In manchen Ausführungsformen ist Abstand S etwa 0,2 µm bis etwa 0,5 µm, um Schutz und/oder Abschirmung zu maximieren, die durch Schutzring 140 für TSV 130 bereitgestellt werden. Ein Abstand S größer als 0,5 µm ist zu groß und verhindert, dass Schutzring 140 ausreichend die TSV 130 schützt. Wenn zum Beispiel Schutzring 140 zu weit (z.B. größer als 0,5 µm) von TSV 130 beabstandet ist, kann der Schutzring 140 Belastungen von, innerhalb und/oder um TSV 130 nicht ausreichend absorbieren und/oder reduzieren. Belastungen können sich dann unerwünscht auf TSV 130 konzentrieren, was Leistungsfähigkeit und/oder strukturelle Integrität von TSV 130 beeinträchtigen kann. Ein Abstand S kleiner als 0,2 µm ist zu klein und kann zu einer physischen Verbindung zwischen Schutzring 140 und TSV 130 führen, wodurch ein Zweck und/oder eine Funktion von Schutzring 140 zunichte gemacht werden. Wenn zum Beispiel Schutzring 140 zu nahe (z.B. kleiner als 0,2 µm) von TSV 130 beabstandet ist, ist Schutzring 140 im Wesentlichen eine Verlängerung von TSV 130 (und bildet einen Teil davon) und kann die TSV 130 nicht wie geplant schützen. Zum Beispiel kann Schutzring 140 keine elektrische Isolierung bereitstellen; Belastung von, innerhalb und/oder um TSV 130 nicht reduzieren oder eliminieren; Rissbildung nicht reduzieren oder eliminieren; keine strukturelle Integrität bereitstellen; oder Kombinationen davon. In manchen Ausführungsformen ist ein Verhältnis von Abmessung Db zu Abmessung DTSV konfiguriert, Abstand S zu optimieren.
  • Schutzring 140 wird in Verbindung mit MLI-Strukturelement 110 gefertigt und Schutzring 140 kann als ein Teil von MLI-Strukturelement 110 angesehen werden. Zum Beispiel weist Schutzring 140 einen Stapel von Interconnect-Strukturen auf, wobei die Interconnect-Strukturen entlang der z-Richtung (oder entlang einer Längsrichtung von TSV 130) vertikal gestapelt sind. Jeder Interconnect-Struktur weist eine entsprechende Metallleitung 116 und eine entsprechende Durchkontaktierung 118 auf. In 1 weist der Stapel von Interconnect-Strukturen eine Interconnect-Struktur, eine (a+b)-Interconnect-Struktur und Interconnect-Zwischenstruktur(en) dazwischen (d.h. eine (a+1)-Interconnect-Struktur, eine (a+2)-Interconnect-Struktur und so weiter) auf, wobei a eine ganze Zahl größer als oder gleich 1 ist und b eine ganze Zahl größer als oder gleich 1 ist. In der dargestellten Ausführungsform ist a gleich n (z.B. a =1), b ist gleich x (z.B. b = 9), und Schutzring 140 weist eine Interconnect-Struktur auf, die einer Interconnect-Schicht auf jeder Ebene von MLI-Strukturelement 110 entspricht. Zum Beispiel bildet a-Interconnect-Struktur einen leitfähigen Ring um TSV 130 in Interconnect-Schicht auf n-Ebene, (a+1)-Interconnect-Struktur bildet einen leitfähigen Ring um TSV 130 in Interconnect-Schicht auf (n+1)-Ebene und so weiter für die Interconnect-Zwischenstrukturen, und (a+b)-Interconnect-Struktur bildet einen leitfähigen Ring um TSV 130 in Interconnect-Schicht auf (n+x)-Ebene. Die vorliegende Offenbarung zieht einen Schutzring 140 mit einer Anzahl von Interconnect-Strukturen in Betracht, die größer oder kleiner als eine Anzahl von Ebenen von Interconnect-Schichten von MLI-Strukturelement 110 ist. Zum Beispiel kann sich Schutzring 140 von Interconnect-Schicht auf (n+x)-Ebene zu (n+5)-Interconnect-Schicht von MLI-Strukturelement 110 erstrecken.
  • In 1-3 weist Schutzring 140 eine innere Seitenwand 142 (d.h. Seitenwand von Schutzring 140, der der TSV 130 am nächsten ist) und eine äußere Seitenwand 144 (d.h. Seitenwand von Schutzring 140, die der inneren Seitenwand 142 entgegengesetzt ist) auf. In einer Draufsicht bilden die innere Seitenwand 142 und äußere Seitenwand 144 einen innersten Ring 142i beziehungsweise einen äußersten Ring 1440. Schutzring 140 hat eine Breite w zwischen der inneren Seitenwand 142 (und/oder dem innersten Ring 142i) und der äußeren Seitenwand 144 (und/oder dem äußersten Ring 1440). Breite Db ist durch die innere Seitenwand 142 (und/oder den innersten Ring 142i) definiert und Abstand S ist zwischen der inneren Seitenwand 142 (und/oder dem innersten Ring 142i) und TSV 130 definiert. In einer Querschnittsansicht erstreckt sich die innere Seitenwand 142 entlang der z-Richtung und wird durch der TSV gegenüberliegende Seitenwände von Interconnect-Strukturen von Schutzring 140 gebildet (d.h. der TSV gegenüberliegende Seitenwände von Metallleitungen 116 und/oder Der TSV gegenüberliegende Seitenwände von Durchkontaktierungen 118 der Interconnect-Strukturen), und die äußere Seitenwand 144 erstreckt sich entlang der z-Richtung und wird durch Seitenwände von Interconnect-Strukturen von Schutzring 140 gebildet, die den TSV gegenüberliegenden Seitenwänden entgegengesetzt sind (d.h. Seitenwände von Metallleitungen 116 und/oder Seitenwände von Durchkontaktierungen 118, die den TSV gegenüberliegenden Seitenwänden von Metallleitungen 116 beziehungsweise den TSV gegenüberliegenden Seitenwänden von Durchkontaktierungen 118 entgegengesetzt sind). Die Interconnect-Strukturen sind so angeordnet, dass sie im Wesentlichen die Seitenwände, die der TSV gegenüberliegen, von Metallleitungen 116 vertikal ausrichten, sodass die innere Seitenwand 142 ein im Wesentlichen vertikales Querschnittsprofil aufweist. Zum Beispiel ist in 3 eine Linie C eine Achse entlang der z-Richtung, die eine im Wesentlichen vertikale Seitenwand darstellt, und die Seitenwände, die der TSV gegenüberliegen, einer Interconnect-Struktur a bis (a+b)-Interconnect-Struktur von Schutzring 140, die die innere Seitenwand 142 von Schutzring 140 bilden, sind mit Linie C vertikal ausgerichtet. In manchen Ausführungsformen wird die innere Seitenwand 142 als im Wesentlichen vertikal angesehen, wenn eine seitliche Verschiebung der inneren Seitenwand 142 von Linie C kleiner als etwa 0,01 µm ist. Wenn zum Beispiel eine der TSV gegenüberliegende Seitenwand einer Interconnect-Struktur von Schutzring (z.B. die der TSV gegenüberliegende Seitenwand von Metallleitung 116 und/oder die der TSV gegenüberliegende Seitenwand von Durchkontaktierung 118) seitlich nach links oder rechts von Linie C verschoben ist (d.h. die der TSV gegenüberliegende Seitenwand ist nicht vertikal mit Linie C ausgerichtet), ist ein Ausmaß einer solchen Verschiebung (d.h. eine seitliche Distanz) ± 0.01 µm.
  • In manchen Ausführungsformen hat TC-Schicht eine Höhe (Länge) h1 entlang der z-Richtung, Interconnect-Strukturen, Metallleitungen 116, Durchkontaktierungen 118 oder Kombinationen davon von Schutzring 140 sind in Gruppen (oder Sätze) unterteilt und TC-Schicht und die Gruppen von Schutzring 140 sind mit unterschiedlicher Dicke (auch als Höhen oder Längen bezeichnet) entlang der z-Richtung konfiguriert, um TSV-Einsetztiefe zu optimieren, Belastung in Halbleiterstruktur 100 zu minimieren, Belastungsverteilung in Halbleiterstruktur 100 (insbesondere bei Grenzflächengebiet IF) zu optimieren, oder Kombinationen davon. Zum Beispiel sind in 1 und 4 Interconnect-Strukturen von Schutzring 140 in einen Satz 140a von Interconnect-Strukturen und einen Satz 140b von Interconnect-Strukturen gruppiert, wobei Satz 140b zwischen TC-Schicht und Satz 140a liegt. Satz 140a weist eine Interconnect-Struktur durch (a+7)-Interconnect-Struktur auf und Satz 140B weist (a+8)-Interconnect-Struktur und (a+b)-Interconnect-Struktur auf. Satz 140a hat eine Höhe (Länge) h3 entlang der z-Richtung und Satz 140b hat eine Höhe (Länge) h2 entlang der z-Richtung. In manchen Ausführungsformen ist Höhe h1 größer als Höhe h3 und Höhe h3 ist größer als Höhe h2, um TSV-Einsetztiefe zu optimieren, Belastung in Halbleiterstruktur 100 zu minimieren, Belastungsverteilung in Halbleiterstruktur 100 (insbesondere bei Grenzflächengebiet IF) zu optimieren, oder Kombinationen davon. In manchen Ausführungsformen werden Gruppen von Interconnect-Strukturen von Schutzring 140 basierend auf einer Teilung einer Metallisierungsschicht bestimmt, zu der die Interconnect-Strukturen gehören. Zum Beispiel entspricht Satz 140a von Interconnect-Strukturen dem Satz 110a von Metallisierungsschichten mit Teilung P1 und Satz 140B von Interconnect-Strukturen entspricht Satz 110b von Metallisierungsschichten mit Teilung P2.
  • Wie oben festgehalten wurde, weist jede Interconnect-Struktur von Schutzring 140 eine entsprechende Metallleitung 116 und eine entsprechende Durchkontaktierung 118 auf. 4 stellt eine beispielhafte Interconnect-Struktur dar, die eine a-Interconnect-Struktur bis (a+b)-Interconnect-Struktur von Schutzring 140 darstellt. In 4 hat die Metallleitung 116 der Interconnect-Struktur eine Breite W1 entlang der x-Richtung und eine Dicke t1 entlang der z-Richtung, und Durchkontaktierung 118 der Interconnect-Struktur von Schutzring 140 hat eine Breite W2 entlang der x-Richtung und eine Dicke t2 entlang der z-Richtung. Metallleitung 116 hat eine Seitenwand 116a (z.B. eine der TSV gegenüberliegende Seitenwand) und eine Seitenwand 116b (z.B. eine Seitenwand, die der TSV gegenüberliegenden Seitenwand entgegengesetzt ist), und Breite W1 ist zwischen Seitenwand 116a und Seitenwand 116b. Durchkontaktierung 118 hat eine Seitenwand 118a (z.B. eine der TSV gegenüberliegende Seitenwand) und eine Seitenwand 118b (z.B. eine Seitenwand, die der TSV gegenüberliegenden Seitenwand entgegengesetzt ist) und Breite W2 ist zwischen Seitenwand 118a und Seitenwand 118b. Breite W1 ist größer als Breite W2. Dicke t2 ist größer als, kleiner als, oder gleich Dicke t1.
  • Metallleitung 116 und Durchkontaktierung 118 sind vertikal orientiert, um Seitenwand 116a und Seitenwand 118a von Metallleitung 116 beziehungsweise Durchkontaktierung 118 vertikal auszurichten. Mit anderen Worten, die Interconnect-Struktur ist mit vertikal ausgerichteten, Seitenwänden, die der TSV gegenüberliegen, konfiguriert, die einen Teil der inneren Seitenwand 142 bilden. Wenn die Seitenwände, die der TSV gegenüberliegen, vertikal ausgerichtet sind und Breite W1 anders als Breite W2 ist, wie dargestellt, sind Seitenwände, die den Seitenwänden, die der TSV gegenüberliegen, entgegengesetzt sind, die einen Teil der äußeren Seitenwand 144 bilden, nicht vertikal ausgerichtet. Zum Beispiel ist Seitenwand 116b von Metallleitung 116 nicht vertikal mit Seitenwand 118b von Durchkontaktierung 118 ausgerichtet. In manchen Ausführungsformen ist ein Verhältnis von Breite W1 zu Breite W2 größer als eins, um Metallleitung 116 und Durchkontaktierung 118 mit unterschiedlichen Breiten bereitzustellen, was Belastung innerhalb, von und/oder um Schutzring 140 minimieren kann, indem vertikale Ausrichtung sowohl der Seitenwände die der TSV gegenüberliegen (d.h. Seitenwand 116a und Seitenwand 118a), und der Seitenwände, die den Seitenwänden, die der TSV gegenüberliegen, entgegengesetzt sind (z.B. Seitenwand 116b und Seitenwand 118b), verhindert wird. Wo das Verhältnis von Breite W1 zu Breite W2 gleich 1 ist (d.h. Breite W1 gleich Breite W2) und Metallleitung 116 mit Durchkontaktierung 118 vertikal ausgerichtet ist, sind sowohl die der TSV gegenüberliegenden Seitenwände als auch die Seitenwände von Metallleitung 116 und Durchkontaktierung 118, die den Seitenwänden, die der TSV gegenüberliegen, entgegengesetzt sind, vertikal ausgerichtet, was eine adäquate Lösung von Belastung innerhalb, von und/oder um Schutzring 140 verhindert. In manchen Ausführungsformen ist das Verhältnis von Breite W1 zu Breite W2 gleich 1, aber Metallleitung 116 und Durchkontaktierung 118 sind in einer Weise vertikal orientiert, die eine vertikale Ausrichtung ihrer Seitenwände ausschließt.
  • In manchen Ausführungsformen haben Metallleitungen 116 von Schutzring 140 dieselbe Breite. In manchen Ausführungsformen haben Metallleitungen 116 von Schutzring 140 unterschiedliche Breiten (z.B. unterschiedliche Breiten W1 und Interconnect-Strukturen von Schutzring 140 sind vertikal orientiert, um vertikale Ausrichtung der Seitenwände, die der TSV gegenüberliegen, von Metallleitungen 116 zu verhindern, sodass die innere Seitenwand 142 im Wesentlichen vertikal und/oder im Wesentlichen linear ist. In solchen Ausführungsformen hängt ein nicht gleichförmiges Profil der äußeren Seitenwand 144 davon ab, wie Breiten W1 von Metallleitungen 116 entlang Höhe Ha von TSV 130 variieren. Zum Beispiel kann die äußere Seitenwand 144 ein Stufenprofil, ein konisches Profil, ein Zickzackprofil, ein Wellenprofil, ein krummliniges Profil, ein anderes geeignetes Profil oder Kombinationen davon aufweisen. In manchen Ausführungsformen nehmen Breiten von Metallleitungen 116 von Schutzring 140 entlang Höhe Ha von TSV 130 zu (d.h. Breiten von Metallleitungen 116 von Schutzring 140 nehmen von Seite 104 von Vorrichtungssubstrat 102 zu Kontakt 122 zu). Zum Beispiel nimmt Breite W1 von Metallleitungen 116 von Schutzring 140 von einer ersten Breite zu einer zweiten Breite entlang Höhe Ha zu. In solchen Ausführungsformen kann Breite W1 von Metallleitung 116 einer Interconnect-Struktur gleich der ersten Breite sein, Breite W1 von Metallleitung 116 von (a+b)-Interconnect-Struktur kann gleich der zweiten Breite sein und Breite W1 von Metallleitungen 116 von Interconnect-Zwischenstrukturen kann zwischen der ersten Breite und der zweiten Breite sein. In manchen Ausführungsformen nehmen Breiten von Metallleitungen 116 von Schutzring 140 entlang Höhe Ha von TSV 130 ab (d.h. Breiten von Metallleitungen 116 von Schutzring 140 nehmen von Seite 104 von Vorrichtungssubstrat 102 zu Kontakt 122 ab). In manchen Ausführungsformen haben Metallleitungen 116 von Interconnect-Strukturen eines selben Satzes von Schutzring 140 dieselbe Breite, aber die Sätze haben unterschiedliche Breiten und Interconnect-Strukturen von Schutzring 140 sind vertikal orientiert, um eine vertikale Ausrichtung der Seitenwände, die der TSV gegenüberliegen, von Metallleitungen 116 der Sätze bereitzustellen. Zum Beispiel kann Breite W1 von Metallleitungen 116 von Satz 140a gleich einer ersten Breite sein und Breite W1 von Metallleitungen 116 von Satz 140b kann gleich einer zweiten Breite sein, wobei die erste Breite und die zweite Breite unterschiedlich sind. In manchen Ausführungsformen ist die erste Breite größer als die zweite Breite. In manchen Ausführungsformen ist die erste Breite kleiner als die zweite Breite. In manchen Ausführungsformen haben Metallleitungen 116 von Interconnect-Strukturen eines selben Satzes von Schutzring 140 unterschiedliche Breiten und Seitenwände von Metallleitungen 116 des Satzes, der die innere Seitenwand 142 bildet, sind im Wesentlichen vertikal ausgerichtet. Zum Beispiel ist Breite W1 von Metallleitungen 116 von Satz 140a unterschiedlich, aber die Seitenwände, die der TSV gegenüberliegen, von Metallleitungen 116 von Satz 140a sind vertikal ausgerichtet.
  • In manchen Ausführungsformen haben Durchkontaktierungen 118 von Schutzring 140 dieselbe Breite. In manchen Ausführungsformen haben Durchkontaktierungen 118 von Schutzring 140 unterschiedliche Breiten (z.B. unterschiedliche Breiten W2) und Interconnect-Strukturen von Schutzring 140 sind vertikal orientiert, um vertikale Ausrichtung der Seitenwände, die der TSV gegenüberliegen, von Durchkontaktierungen 118 bereitzustellen, sodass die innere Seitenwand 142 im Wesentlichen vertikal und/oder im Wesentlichen linear ist. In solchen Ausführungsformen hängt ein nicht gleichförmiges Profil der äußeren Seitenwand 144 davon ab, wie Breiten W2 von Durchkontaktierungen 118 entlang Höhe Ha von TSV 130 variieren. Zum Beispiel kann die äußere Seitenwand 144 ein Stufenprofil, ein konisches Profil, ein Zickzackprofil, ein Wellenprofil, ein krummliniges Profil, ein anderes geeignetes Profil oder Kombinationen davon aufweisen. In manchen Ausführungsformen nehmen Breiten von Durchkontaktierungen 118 von Schutzring 140 entlang Höhe Ha von TSV 130 zu (d.h. Breiten von Durchkontaktierungen 118 von Schutzring 140 nehmen von Seite 104 von Vorrichtungssubstrat 102 zu Kontakt 122 zu). Zum Beispiel nimmt Breite W2 von Durchkontaktierungen 118 von Schutzring 140 von einer ersten Breite zu einer zweiten Breite entlang Höhe Ha zu. In solchen Ausführungsformen kann Breite W2 von Durchkontaktierung 118 einer Interconnect-Struktur gleich der ersten Breite sein, Breite W2 von Durchkontaktierung 118 von (a+b)-Interconnect-Struktur kann gleich der zweiten Breite sein und Breite W2 von Durchkontaktierung 118 von Interconnect-Zwischenstrukturen kann zwischen der ersten Breite und der zweiten Breite sein. In manchen Ausführungsformen nehmen Breiten von Durchkontaktierungen 118 von Schutzring 140 entlang Höhe Ha von TSV 130 ab (d.h. Breiten von Durchkontaktierungen 118 von Schutzring 140 nehmen von Seite 104 von Vorrichtungssubstrat 102 zu Kontakt 122 ab). In manchen Ausführungsformen haben Durchkontaktierungen 118 von Interconnect-Strukturen eines selben Satzes von Schutzring 140 dieselbe Breite, aber die Sätze haben unterschiedliche Breiten und Interconnect-Strukturen von Schutzring 140 sind vertikal orientiert, um vertikale Ausrichtung der Seitenwände, die der TSV gegenüberliegen, von Durchkontaktierungen 118 der Sätze bereitzustellen. Zum Beispiel kann Breite W2 von Durchkontaktierungen 118 von Satz 140a gleich einer ersten Breite sein und Breite W2 von Durchkontaktierungen 118 von Satz 140b kann gleich einer zweiten Breite sein, wobei die erste Breite und die zweite Breite unterschiedlich sind. In manchen Ausführungsformen ist die erste Breite größer als die zweite Breite. In manchen Ausführungsformen ist die erste Breite kleiner als die zweite Breite. In manchen Ausführungsformen haben Durchkontaktierungen 118 von Interconnect-Strukturen eines selben Satzes von Schutzring 140 unterschiedliche Breiten und Seitenwände von Durchkontaktierungen 118 des Satzes, der die innere Seitenwand 142 bildet, sind im Wesentlichen vertikal ausgerichtet. Zum Beispiel ist Breite W2 von Durchkontaktierungen 118 von Satz 140a unterschiedlich, aber die Seitenwände, die der TSV gegenüberliegen, von Durchkontaktierungen 118 von Satz 140a sind vertikal ausgerichtet.
  • In manchen Ausführungsformen haben Metallleitungen 116 von Schutzring 140 dieselbe Dicke. In manchen Ausführungsformen haben Metallleitungen 116 von Schutzring 140 unterschiedliche Dicken (z.B. unterschiedliche Dicken t1). In manchen Ausführungsformen haben Durchkontaktierungen 118 von Schutzring 140 dieselbe Dicke. In manchen Ausführungsformen haben Durchkontaktierungen 118 von Schutzring 140 unterschiedliche Dicken (z.B. unterschiedliche Dicken t2). In manchen Ausführungsformen können Dicken von Metallleitungen 116 variieren, wie oben unter Bezugnahme auf Breiten von Metallleitungen 116 beschrieben (nehmen z.B. entlang Höhe Ha zu oder ab, variieren basierend auf einem Satz, zu dem die Metallleitungen 116 gehören, usw.). In manchen Ausführungsformen können Dicken von Durchkontaktierungen 118 variieren, wie oben unter Bezugnahme auf Breiten von Durchkontaktierungen 118 beschrieben (nehmen z.B. entlang Höhe Ha zu oder ab, variieren basierend auf einem Satz, zu dem die Durchkontaktierungen 118 gehören, usw.).
  • In manchen Ausführungsformen sind Breiten und/oder Dicken von Metallleitungen 116 von Schutzring 140 anders als Breiten und/oder Dicken von Metallleitungen 116 der Interconnect-Schichten von MLI-Strukturelement 110. In manchen Ausführungsformen sind Breiten und/oder Dicken von Durchkontaktierungen 118 von Schutzring 140 anders als Breiten und/oder Dicken von Durchkontaktierungen 118 der Interconnect-Schichten von MLI-Strukturelement 110. In manchen Ausführungsformen sind Breiten und/oder Dicken von Metallleitungen 116 von Schutzring 140 dieselben wie Breiten und/oder Dicken von Metallleitungen 116 der Interconnect-Schichten von MLI-Strukturelement 110. In manchen Ausführungsformen sind Breiten und/oder Dicken von Durchkontaktierungen 118 von Schutzring 140 dieselben wie Breiten und/oder Dicken von Durchkontaktierungen 118 der Interconnect-Schichten von MLI-Strukturelement 110. In manchen Ausführungsformen sind leitfähige Materialien von Metallleitungen 116 und/oder Durchkontaktierungen 118 von Schutzring 140 anders als leitfähige Materialien von Metallleitungen 116 und/oder Durchkontaktierungen 118 der Interconnect-Schichten von MLI-Strukturelement 110. In manchen Ausführungsformen sind leitfähige Materialien von Metallleitungen 116 und/oder Durchkontaktierungen 118 von Schutzring 140 dieselben wie leitfähige Materialien von Metallleitungen 116 und/oder Durchkontaktierungen 118 der Interconnect-Schichten von MLI-Strukturelement 110. In manchen Ausführungsformen enthalten Metallleitungen 116 und Durchkontaktierungen 118 Kupfer (z.B. Kupferstopfen), ist Schutzring 140 ein Kupferring.
  • Halbleiterstruktur 100 kann an einer anderen Halbleiterstruktur befestigt (gebondet) werden, um ein IC-Package oder einen Teil davon zu bilden. Zum Beispiel ist in 6 Halbleiterstruktur 100 an einer Halbleiterstruktur 180 befestigt, die ähnlich Halbleiterstruktur 100 sein kann. Zum Beispiel weist Halbleiterstruktur 180 ein entsprechendes Vorrichtungssubstrat 102, ein entsprechendes MLI-Strukturelement 110 (mit einer entsprechenden dielektrischen Schicht 115, entsprechenden Metallleitungen 116 und entsprechenden Durchkontaktierungen 118) auf, das über Seite 104 des entsprechenden Vorrichtungssubstrats 102 angeordnet ist, und eine entsprechende TC-Schicht (mit entsprechenden Kontakten 122), die über dem entsprechenden MLI-Strukturelement 110 angeordnet ist. In solchen Ausführungsformen ist Seite 106 (z.B. Rückseite) von Vorrichtungssubstrat 102 von Halbleiterstruktur 100 an der dielektrischen Schicht 115 von Halbleiterstruktur 180 befestigt und TSV 130 von Halbleiterstruktur 100 ist mit einem entsprechenden Kontakt 122 von TC-Schicht von Halbleiterstruktur 180 verbunden. TSV 130 verbindet Halbleiterstruktur 100 und Halbleiterstruktur 180 elektrisch und/oder physisch. In manchen Ausführungsformen erstreckt sich TSV 130 durch einen Teil von dielektrischer Schicht 115 von Halbleiterstruktur 180 zu Kontakt 122 der TC-Schicht von Halbleiterstruktur 180. Halbleiterstruktur 100 und Halbleiterstruktur 180 können durch Dielektrikum-zu-Dielektrikum-Bonding (z.B. Oxid-zu-Oxid-Bonding, Metall-zu-Metall-Bonding (z.B. Kupfer-zu-Kupfer-Bonding), Metall-zu-Dielektrikum-Bonding (z.B. Kupfer-zu-Oxid-Bonding), eine andere Art von Bonding oder Kombinationen davon befestigt sein.
  • In manchen Ausführungsformen sind Halbleiterstruktur 100 und Halbleiterstruktur 180 Chips, die mindestens eine funktionelle IC aufweisen, wie eine IC, die konfiguriert ist, eine logische Funktion, eine Speicherfunktion, eine digitale Funktion, eine analoge Funktion, eine gemischte Signalfunktion, eine Funkfrequenzfunktion (RF-Funktion), eine Eingabe/Ausgabe-Funktion (I/O-Funktion), eine Kommunikationsfunktion, eine Leistungsverwaltungsfunktion, andere Funktion oder Kombinationen davon durchzuführen. In solchen Ausführungsformen verbindet TSV 130 die Chips physisch und/oder elektrisch. In manchen Ausführungsformen sind Halbleiterstruktur 100 und Halbleiterstruktur 180 Chips mit derselben Funktion (z.B. Zentralverarbeitungseinheit (CPU), Grafikverarbeitungseinheit (GPU) oder Speicher). In manchen Ausführungsformen sind Halbleiterstruktur 100 und Halbleiterstruktur 180 Chips mit unterschiedlichen Funktionen (z.B. CPU beziehungsweise GPU). In manchen Ausführungsformen sind Halbleiterstruktur 100 und Halbleiterstruktur 180 System-on-Chips (SoCs). In solchen Ausführungsformen verbindet TSV 130 die SoCs physisch und/oder elektrisch. SoC bezieht sich im Allgemeinen auf einen einzelnen Chip oder monolithischen Die mit mehreren Funktionen (z.B. CPU, GPU, Speicher, andere Funktionen oder Kombinationen davon). In manchen Ausführungsformen ist der SoC ein einzelner Chip, auf dem ein vollständiges System, wie ein Computersystem, gefertigt ist. In manchen Ausführungsformen ist Halbleiterstruktur 100 ein Chip und Halbleiterstruktur 180 ist ein SoC oder umgekehrt. In solchen Ausführungsformen verbindet TSV 130 einen Chip und eine SoC physisch und/oder elektrisch.
  • In manchen Ausführungsformen ist Halbleiterstruktur 100 ein Teil eines Chip-on-Wafer-on-Substrat-Packages (CoWoS-Package), eines Integrated-Fan-Out-Packages (InFO-Package), eines System on Integrated Chip-Packages (SoIC-Package), eines anderen dreidimensionalen IC-Packages (3DIC-Package) oder eines hybriden Packages, das eine Kombination von Mehrfach-Chip-Packaging-Technologien implementiert. In manchen Ausführungsformen ist TSV 130 von Halbleiterstruktur 100 physisch und/oder elektrisch mit einem Package-Substrat, einem Zwischenelement, einer Umverteilungsschicht (RDL), einer Leiterplatte (PCB), einer gedruckten Verdrahtungsplatte, einer anderen Packaging-Struktur und/oder einem Substrat oder Kombinationen davon verbunden. In manchen Ausführungsformen ist TSV 130 von Halbleiterstruktur 100 physisch und/oder elektrisch mit kontrollierten Kollaps-Chipverbindungen (C4-Bonds) (z.B. Lötmittelbumps und/oder Lötmittelkugeln) und/oder Mikrobumps (auch als Mikrobonds, µ-Bumps und/oder µ-Bonds bezeichnet) verbunden, die physisch und/oder elektrisch mit einer Packaging-Struktur verbunden sind.
  • 7A-7I sind fragmentarische Querschnittsansichten eines Teils oder der Gesamtheit eines Werkstücks 200 bei verschiedene Fertigungsstufen zum Bilden eines Schutzrings und einer TSV gemäß verschiedenen Aspekten der vorliegenden Offenbarung. 8A-8E sind fragmentarische Querschnittsansichten eines Teils von Werkstück 200 in verschiedenen Fertigungsstufen zum Bilden eines TSV-Grabens, der in der Fertigungsstufe, die zu 7E gehört, implementiert werden kann, gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Für eine einfache Beschreibung und ein leichtes Verständnis richtet sich die folgende Besprechung von 7A-7I und 8A-8E auf die Fertigung von Halbleiterstruktur 100 von 1, die TSV 130 und Schutzring 140 aufweist. Die vorliegende Offenbarung zieht jedoch Ausführungsformen in Betracht, wo Bearbeitung in Verbindung mit 7A-7I und/oder 8A-8E implementiert wird, um Werkstücke mit unterschiedlichen Konfigurationen von TSV 130 und/oder Schutzring 140 zu fertigen, wie hier beschrieben. 7A-7I und 8A-8E wurden der Deutlichkeit wegen vereinfacht, um die erfindungsgemäßen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Strukturelemente können dem Werkstück 200 hinzugefügt werden und manche der unten beschriebenen Strukturelemente können in anderen Ausführungsformen von Werkstück 200 ersetzt, modifiziert oder eliminiert werden.
  • Unter Bezugnahme auf 7A-7C erfährt Werkstück 200, nachdem Werkstück 200 einer FEOL-Bearbeitung und MEOL-Bearbeitung unterzogen wurde, eine BEOL-Bearbeitung, um MLI-Strukturelement 110 über einem Vorrichtungsgebiet 202A und/oder einem Vorrichtungsgebiet 202B von Vorrichtungssubstrat 102 zu bilden. MLI-Strukturelement 110 kann mit einer Vorrichtung, wie einem Transistor, die in Vorrichtungsgebiet 202A und/oder Vorrichtungsgebiet 202B gebildet ist, physisch und/oder elektrisch verbunden sein. In 7A-7C werden Dicken von Teilen von dielektrischer Schicht 115, Dicken von Interconnect-Schichten von MLI-Strukturelement 110, Dicken von Metallleitungen 116, Dicken von Durchkontaktierungen 118 oder Kombinationen davon kontrolliert, um dielektrische Schicht 115 und/oder MLI-Strukturelement 110 mit einer Dicke T1 bereitzustellen, die kleiner als eine Dicke T2 von Vorrichtungssubstrat 102 ist. Zur Optimierung von Belastungseigenschaften von Werkstück 200, wie hier beschrieben, ist Dicke T1 kleiner als die oder gleich der gewünschten Länge Ha von TSV 130 in der dielektrischen Schicht 115 und Dicke T2 ist größer als die oder gleich der gewünschten Länge Hb von TSV 130 in Vorrichtungssubstrat 102.
  • In 7A-7C wird auch Schutzring 140 über einem Zwischengebiet 202C von Vorrichtungssubstrat 102 gebildet, während das MLI-Strukturelement 110 gebildet wird. Schutzring 140 kann physisch und/oder elektrisch mit Vorrichtungssubstrat 102 verbunden sein, wie mit einem dotierten Gebiet, wie einer n-Wanne oder einer p-Wanne, das darin gebildet ist. Schutzring 140 ist ein leitfähiger Ring (z.B. ein Metallring) mit einer Innenabmessung Db, die ein dielektrisches Gebiet 210 von dielektrischer Schicht 115 definiert. Wie weiter unten beschrieben ist, ist TSV 130 gebildet, sich durch das dielektrische Gebiet 210 zu erstrecken.
  • In 7A wird Interconnect-Schicht erster Ebene von MLI-Strukturelement 110 (d.h. V1-Schicht und M1-Schicht) und erste Interconnect-Struktur von Schutzring 140 (z.B. eine Interconnect-Struktur) über Vorrichtungssubstrat 102 gebildet. Zum Beispiel wird eine strukturierte Durchkontaktierungsschicht (d.h. Durchkontaktierungen 118) über Vorrichtungssubstrat 102 gebildet und eine strukturierte Metallschicht (d.h. Metallleitungen 116) wird über der strukturierten Durchkontaktierungsschicht gebildet. In manchen Ausführungsformen wird die strukturierte Durchkontaktierungsschicht durch Abscheiden eines Teils von dielektrischer Schicht 115 über einer MEOL-Schicht, Durchführen eines Lithographie- und Ätzprozesses, um Öffnungen in dem Teil der dielektrischen Schicht 115 zu bilden, die darunterliegende leitfähige Strukturelemente (z.B. Kontakte und/oder Durchkontaktierungen der MEOL-Schicht oder Vorrichtungsstrukturelemente, wie Gates und/oder Source/Drains) freilegen, Füllen der Öffnungen mit einem leitfähigen Material und Durchführen eines Planarisierungsprozesses, der überschüssiges leitfähiges Material entfernt, gebildet, wobei das verbleibende leitfähige Material, das die Öffnungen füllt, Durchkontaktierungen 118 bereitstellt. Durchkontaktierungen 118 und der Teil von dielektrischer Schicht 115 können eine im Wesentlichen planare gemeinsame Oberfläche nach dem Planarisierungsprozess bilden. In manchen Ausführungsformen wird die strukturierte Metallschicht durch Abscheiden eines Teils von dielektrischer Schicht 115 über der strukturierten Durchkontaktierungsschicht, Durchführen eines Lithographie- und Ätzprozesses, um Öffnungen in dem Teil der dielektrischen Schicht 115 zu bilden, die darunterliegende leitfähige Strukturelemente (z.B. Durchkontaktierungen 118 von Interconnect-Schicht erster Ebene und Durchkontaktierungen der ersten Interconnect-Struktur) freilegen, Füllen der Öffnungen mit einem leitfähigen Material und Durchführen eines Planarisierungsprozesses, der überschüssiges leitfähiges Material entfernt, gebildet, wobei das verbleibende leitfähige Material, das die Öffnungen füllt, Metallleitungen 116 bereitstellt. Metallleitungen 116 und der Teil von dielektrischer Schicht 115 können eine im Wesentlichen planare gemeinsame Oberfläche nach dem Planarisierungsprozess bilden. In manchen Ausführungsformen werden Durchkontaktierungen 118 und Metallleitungen 116 durch entsprechende Einzel-Damaszenerprozesse gebildet (d.h. Durchkontaktierungen 118 werden getrennt von ihren entsprechenden darüberliegenden und/oder darunterliegenden Metallleitungen 116 gebildet).
  • In manchen Ausführungsformen umfasst Abscheiden des Teils von dielektrischer Schicht 115 Abscheiden einer ILD-Schicht. In manchen Ausführungsformen umfasst Abscheiden des Teils von dielektrischer Schicht 115 Abscheiden einer CESL. Die dielektrische Schicht 115, CESL, ILD-Schicht oder Kombinationen davon werden durch chemische Dampfphasenabscheidung (CVD), plasmaverstärkte CVD (PECVD), CVD mit Plasma hoher Dichte (HDPCVD), fließfähige CVD (FCVD), physikalische Dampfphasenabscheidung (PVD), Atomlagenabscheidung (ALD), metallorganische chemische Dampfphasenabscheidung (MOCVD), CVD mit fernem Plasma (RPCVD), Niederdruck-CVD (LPCVD), Atomlagen-CVD (ALCVD), Atmosphärendruck-CVD (APCVD), andere geeignete Abscheidungsverfahren oder Kombinationen davon gebildet.
  • In manchen Ausführungsformen werden Interconnect-Schicht erster Ebene von MLI-Strukturelement 110 und/oder erste Interconnect-Struktur von Schutzring 140 durch einen Dual-Damaszenerprozess gebildet, der gleichzeitiges Abscheiden eines leitfähigen Materials für Durchkontaktierung/Metallleitungspaare umfassen kann. In solchen Ausführungsformen können sich Durchkontaktierungen 118 und Metallleitungen 116 eine Sperrschicht und einen leitfähigen Stopfen teilen, anstatt jeweils eine entsprechende und eigene Sperrschicht und einen entsprechenden und eigenen leitfähigen Stopfen zu haben (z.B. wo eine Sperrschicht einer entsprechenden Metallleitung 116 einen leitfähigen Stopfen der entsprechenden Metallleitung 116 von einem leitfähigen Stopfen ihrer jeweiligen entsprechenden Durchkontaktierung 118 trennt). In manchen Ausführungsformen umfasst der Dual-Damaszenerprozess Durchführen eines Strukturierungsprozesses zum Bilden von Interconnect-Öffnungen, die sich durch die dielektrische Schicht 115 erstrecken, um darunterliegende leitfähige Strukturelemente freizulegen. Der Strukturierungsprozess kann einen ersten Lithographieschritt und einen ersten Ätzschritt umfassen, um Grabenöffnungen der Interconnect-Öffnungen (die Metallleitungen 116 entsprechen und diese definieren) in der dielektrischen Schicht 115 zu bilden, und einen zweiten Lithographieschritt und einen zweiten Ätzschritt, um Durchkontaktierungsöffnungen der Interconnect-Öffnungen (die Durchkontaktierungen 118 entsprechen und diese definieren) in der dielektrischen Schicht 115 zu bilden. Der erste Lithographie-/erste Ätzschritt und der zweite Lithographie-/zweite Ätzschritt können in beliebiger Reihenfolge durchgeführt werden (z.B. Graben zuerst, Durchkontaktierung zuletzt oder Durchkontaktierung zuerst, Graben zuletzt). Der erste Ätzschritt und der zweite Ätzschritt sind jeweils konfiguriert, die dielektrische Schicht 115 in Bezug auf eine strukturierte Maskenschicht selektiv zu entfernen. Der erste Ätzschritt und der zweite Ätzschritt können ein Trockenätzprozess, ein Nassätzprozess, ein anderer geeigneter Ätzprozess oder Kombinationen davon sein.
  • Nach Durchführen des Strukturierungsprozesses kann der Dual-Damaszenerprozess Durchführen eines ersten Abscheidungsprozesses umfassen, um ein Sperrmaterial über der dielektrischen Schicht 115 zu bilden, das teilweise die Interconnect-Öffnungen füllt, und Durchführen eines zweiten Abscheidungsprozesses, um ein leitfähiges Bulkmaterial über dem Sperrmaterial zu bilden, wobei das leitfähige Bulkmaterial Reste der Interconnect-Öffnungen füllt. In solchen Ausführungsformen sind das Sperrmaterial und das leitfähige Bulkmaterial in den Interconnect-Öffnungen und über einer Deckfläche von dielektrischer Schicht 115 angeordnet. Der erste Abscheidungsprozess und der zweite Abscheidungsprozess können CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, PEALD, Elektroplattieren, stromloses Plattieren, andere geeignete Abscheidungsverfahren oder Kombinationen davon umfassen. Ein CMP-Prozess und/oder anderer Planarisierungsprozess werden dann durchgeführt, um überschüssiges leitfähiges Bulkmaterial und Sperrmaterial von über der Deckfläche von dielektrischer Schicht 115 zu entfernen, was zu der strukturierten Durchkontaktierungsschicht (z.B. Durchkontaktierungen 118) und der strukturierten Metallschicht (z.B. Metallleitungen 116) von Interconnect-Schicht erster Ebene von MLI-Strukturelement 110 und entsprechender erster Interconnect-Struktur von Schutzring 140 führt. Der CMP-Prozess planarisiert Deckflächen von dielektrischer Schicht 115 und Durchkontaktierungen 118 und/oder Metallleitungen 116. Das Sperrmaterial und das leitfähige Bulkmaterial können die Grabenöffnungen und die Durchkontaktierungsöffnungen der Interconnect-Öffnungen ohne Unterbrechung füllen, sodass Sperrschichten und leitfähige Stopfen von Metallleitungen 116 und Durchkontaktierungen 118 sich jeweils kontinuierlich von Metallleitungen 116 zu entsprechenden Durchkontaktierungen 118 ohne Unterbrechung erstrecken können.
  • In 7B werden Interconnect-Schicht zweiter Ebene bis Interconnect-Schicht sechster Ebene von MLI-Strukturelement 110 (d.h. Interconnect-Schicht auf (n+1)-Ebene bis (n+5)-Interconnect-Schicht) über Interconnect-Schicht erster Ebene gebildet. Zweite Interconnect-Struktur bis sechste Interconnect-Struktur von Schutzring 140 (d.h. (a+1)-Interconnect-Struktur bis (a+5)-Interconnect-Struktur) werden gebildet, während Interconnect-Schicht zweiter Ebene bis Interconnect-Schicht sechster Ebene gebildet werden. Jede von Interconnect-Schicht zweiter Ebene bis Interconnect-Schicht sechster Ebene von MLI-Strukturelement 110 und entsprechende zweite Interconnect-Struktur bis sechste Interconnect-Struktur von Schutzring 140 können wie oben unter Bezugnahme auf Fertigung von Interconnect-Schicht erster Ebene von MLI-Strukturelement 110 und erster Interconnect-Struktur von Schutzring 140 beschrieben, gebildet werden.
  • In 7C werden Interconnect-Schicht siebenter Ebene bis Interconnect-Schicht zehnter Ebene von MLI-Strukturelement 110 (d.h. Interconnect-Schicht auf (n+6)-Ebene bis Interconnect-Schicht auf (n+x)-Ebene) über Interconnect-Schicht sechster Ebene gebildet. Siebente Interconnect-Struktur bis zehnte Interconnect-Struktur von Schutzring 140 (d.h. (a+6)-Interconnect-Struktur bis (a+b)-Interconnect-Struktur) werden gebildet, während Interconnect-Schicht siebenter Ebene bis Interconnect-Schicht zehnter Ebene gebildet werden. Jede von Interconnect-Schicht siebenter Ebene bis Interconnect-Schicht zehnter Ebene von MLI-Strukturelement 110, und entsprechende siebente Interconnect-Struktur bis zehnte Interconnect-Struktur von Schutzring 140 können wie oben unter Bezugnahme auf Fertigung von Interconnect-Schicht erster Ebene von MLI-Strukturelement 110 und erster Interconnect-Struktur von Schutzring 140 beschrieben, gebildet werden.
  • In manchen Ausführungsformen werden für eine Interconnect-Schicht einer bestimmten Ebene Metallleitungen 116 und Durchkontaktierungen 118 einer Interconnect-Struktur von Schutzring 140 auf der Interconnect-Schicht einer bestimmten Ebene gleichzeitig mit Metallleitungen 116 beziehungsweise Durchkontaktierungen 118 der Interconnect-Schicht einer bestimmten Ebene gebildet. In manchen Ausführungsformen werden für eine Interconnect-Schicht einer bestimmten Ebene Metallleitungen 116 und Durchkontaktierungen 118 einer Interconnect-Struktur von Schutzring 140 auf der Interconnect-Schicht einer bestimmten Ebene mindestens teilweise gleichzeitig mit Metallleitungen 116 beziehungsweise Durchkontaktierungen 118 der Interconnect-Schicht einer bestimmten Ebene gebildet. In manchen Ausführungsformen werden für eine Interconnect-Schicht einer bestimmten Ebene Metallleitungen 116 und Durchkontaktierungen 118 einer Interconnect-Struktur von Schutzring 140 auf der Interconnect-Schicht einer bestimmten Ebene durch andere Prozesse gebildet als Metallleitungen 116 beziehungsweise Durchkontaktierungen 118 der Interconnect-Schicht einer bestimmten Ebene. In manchen Ausführungsformen werden für eine Interconnect-Schicht einer bestimmten Ebene Metallleitungen 116 und/oder Durchkontaktierungen 118 einer Interconnect-Struktur von Schutzring 140 auf der Interconnect-Schicht einer bestimmten Ebene und Metallleitungen 116 und/oder Durchkontaktierungen 118 der Interconnect-Schicht einer bestimmten Ebene durch denselben Einzel-Damaszenerprozess gebildet. In manchen Ausführungsformen werden für eine Interconnect-Schicht einer bestimmten Ebene Metallleitungen 116 und/oder Durchkontaktierungen 118 einer Interconnect-Struktur von Schutzring 140 auf der Interconnect-Schicht einer bestimmten Ebene und Metallleitungen 116 und/oder Durchkontaktierungen 118 der Interconnect-Schicht einer bestimmten Ebene durch unterschiedliche Einzel-Damaszenerprozesse gebildet. In manchen Ausführungsformen werden für eine Interconnect-Schicht einer bestimmten Ebene Metallleitungen 116 und Durchkontaktierungen 118 einer Interconnect-Struktur von Schutzring 140 auf der Interconnect-Schicht einer bestimmten Ebene und Metallleitungen 116 und Durchkontaktierungen 118 der Interconnect-Schicht einer bestimmten Ebene durch denselben Dual-Damaszenerprozess gebildet. In manchen Ausführungsformen werden für eine Interconnect-Schicht einer bestimmten Ebene Metallleitungen 116 und Durchkontaktierungen 118 einer Interconnect-Struktur von Schutzring 140 auf der Interconnect-Schicht einer bestimmten Ebene und Metallleitungen 116 und Durchkontaktierungen 118 der Interconnect-Schicht einer bestimmten Ebene durch unterschiedliche Dual-Damaszenerprozesse gebildet.
  • In 7D wird ein Graben 220 in dielektrischem Gebiet 210 von dielektrischer Schicht 115 gebildet. Graben 220 erstreckt sich durch dielektrische Schicht 115, um die Seite 104 von Vorrichtungssubstrat 102 freizulegen. Graben 220 hat eine Tiefe D1 entlang der z-Richtung und eine Breite W3 entlang der x-Richtung. Tiefe D1 ist gleich Dicke T1 von dielektrischer Schicht 115, und Tiefe D1 ist kleiner als die oder gleich der gewünschten Länge Ha von TSV 130 in der dielektrischen Schicht 115. Breite W3 ist kleiner als die innere Abmessung DB von Schutzring 140. In manchen Ausführungsformen ist Breite W3 gleich Abmessung DTSV. In manchen Ausführungsformen umfasst Bilden von Graben 220 Bilden einer strukturierten Maskenschicht mit einer Öffnung darin, die das dielektrische Gebiet 210 von dielektrischer Schicht 115 freilegt, und Ätzen der dielektrischen Schicht 115 unter Verwendung der strukturierten Maskenschicht als eine Ätzmaske. Eine Breite der Öffnung der strukturierten Maskenschicht kann konfiguriert sein, um einen gewünschten Abstand zwischen Schutzring 130 und einer anschließend gebildeten TSV 130, eine gewünschte Breite DTSV einer anschließend gebildeten TSV 130, ein gewünschtes Verhältnis von Breite DTSV zu Länge Ha oder Kombinationen davon bereitzustellen. Zum Beispiel ist die Öffnung in der strukturierten Maskenschicht mit einer Breite bereitgestellt, die etwa gleich einer gewünschten Breite und/oder einem gewünschten Durchmesser von TSV 130 ist. In manchen Ausführungsformen ist ein Verhältnis einer Breite der Öffnung in der strukturierten Maskenschicht (und/oder Breite W3) zu Tiefe D1 im Wesentlichen dasselbe wie ein Verhältnis von Breite DTSV zu Länge Ha. Kontrollieren von Abstand zwischen Schutzring 140 und Graben 220 und/oder des Verhältnisses der Breite der Öffnung in der strukturierten Maskenschicht (und/oder Breite W3) zu Tiefe D1 kann durch Ätzen herbeigeführte Defekte verringern und/oder Ätzprozesskontrolle verbessern. Die strukturierte Maskenschicht kann unter Verwendung eines Lithographieprozesses gebildet werden, der Fotolackbeschichtung (zum Beispiel Spin-on-Beschichtung), Weichbacken, Maskenausrichtung, Belichtung, Backen nach Belichtung, Entwickeln des Fotolacks, Spülen, Trocknen (zum Beispiel Hartbacken), einen anderen geeigneten Prozess oder Kombinationen davon umfassen kann. In manchen Ausführungsformen ist die strukturierte Maskenschicht eine strukturierte Hartmaskenschicht (z.B. eine Siliziumnitridschicht). In manchen Ausführungsformen ist die strukturierte Maskenschicht eine strukturierte Fotolackschicht. Das Ätzen kann ein Trockenätzprozess, ein Nassätzprozess, ein anderer Ätzprozess oder Kombinationen davon sein.
  • In 7E wird Graben 220 durch einen geeigneten Prozess, wie einen Ätzprozess, in Vorrichtungssubstrat 102 verlängert. Der Ätzprozess ist ein Trockenätzprozess, ein Nassätzprozess, ein anderer Ätzprozess oder Kombinationen davon. In manchen Ausführungsformen ist der Ätzprozess ein isotropes Trockenätzen (d.h. ein Ätzprozess, der Material in mehr als einer Richtung entfernt, wie vertikal entlang der z-Richtung und seitlich entlang der x-Richtung). In 7E erstreckt sich Graben 220 über eine Tiefe D2 in Vorrichtungssubstrat 102. Tiefe D2 ist kleiner als Dicke T2 von Vorrichtungssubstrat 102. In manchen Ausführungsformen ist Tiefe D2 gleich gewünschter Länge Hb von TSV 130 in Vorrichtungssubstrat 102, wie in Ausführungsformen, wo ein anschließender Schleifprozess und/oder Planarisierungsprozess an Seite 106 von Vorrichtungssubstrat 102 stoppt, sobald TSV 130 erreicht ist. In manchen Ausführungsformen ist Tiefe D2 größer als gewünschte Länge Hb von TSV 130 in Vorrichtungssubstrat 102, wie in Ausführungsformen, wo ein anschließender Schleifprozess und/oder Planarisierungsprozess an Seite 106 von Vorrichtungssubstrat 102 einen Teil von TSV 130 entfernt. In manchen Ausführungsformen, wie wo ein anschließender Schleifprozess und/oder Planarisierungsprozess weggelassen wird, ist Tiefe D2 gleich Dicke T2, die gleich gewünschter Länge Hb von TSV 130 in Vorrichtungssubstrat 102 ist, und Graben 220 erstreckt sich vollständig durch Vorrichtungssubstrat 102 (d.h. von Seite 104 zu Seite 106).
  • In manchen Ausführungsformen wird ein Bosch-Prozess, wie in 8A-8E dargestellt, implementiert, um Graben 220 in Vorrichtungssubstrat 102 zu verlängern. Ein Bosch-Prozess bezieht sich im Allgemeinen auf einen Plasmaätzprozess mit hohem Aspektverhältnis, der abwechselnde Ätzphasen und Abscheidungsphasen beinhaltet, wo ein Zyklus eine Ätzphase und eine Abscheidungsphase umfasst und der Zyklus wiederholt wird, bis der Graben 220 die gewünschte Tiefe D2 hat. Zum Beispiel kann der Bosch-Prozess Einleiten eines ersten Gases (z.B. ein fluorhaltiges Gas, wie SF6) in eine Prozesskammer, um Vorrichtungssubstrat 102 (z.B. Silizium) zu ätzen und Graben 220 zu einer Tiefe d1 in Vorrichtungssubstrat 102 zu verlängern, die kleiner als Tiefe D2 ist (8A, eine Ätzphase); Stoppen des ersten Gases und Einleiten eines zweiten Gases (z.B. ein fluorhaltiges Gas, wie C4F8) in die Prozesskammer, um eine Schutzschicht 224 über Oberflächen von Vorrichtungssubstrat 102 zu bilden, die Graben 220 bilden (8B, eine Abscheidungsphase); Stoppen des zweiten Gases und Einleiten des ersten Gases in die Prozesskammer, um das Vorrichtungssubstrat 102 weiter zu ätzen und Graben 220 zu einer Tiefe d2 in Vorrichtungssubstrat 102 zu verlängern, die kleiner als Tiefe D2 ist (8C, eine Ätzphase); Stoppen des ersten Gases und Einleiten des zweiten Gases in die Prozesskammer, um Schutzschicht 224 (auch als eine Polymerschicht oder eine Passivierungsschicht bezeichnet) über freiliegenden Oberflächen von Vorrichtungssubstrat 102 zu bilden, die Graben 220 bilden (8D, eine Abscheidungsphase); und Wiederholen von Zyklen des Bosch-Prozesses (d.h. Ätzphase plus Polymerabscheidungsphase) bis sich Graben 220 zu Tiefe D2 in Vorrichtungssubstrat 102 erstreckt (8E), umfassen. Jede Ätzphase kann Teile von Schutzschicht 224 entfernen, die Oberflächen von Vorrichtungssubstrat 102 bedecken, die einen Boden von Graben 220 bilden, aber nicht von Teilen von Schutzschicht 224, die Oberflächen von Vorrichtungssubstrat 102 bedecken, die Seitenwände von Graben 220 bilden. Schutzschicht 224 kann Fluor und Kohlenstoff enthalten (d.h. eine auf Fluorkohlenstoff basierende Schicht). Der Bosch-Prozess kann eine strukturierte Maskenschicht 222 als eine Ätzmaske verwenden. In manchen Ausführungsformen wurde eine strukturierte Maskenschicht 222 gebildet und als eine Ätzmaske beim Bilden des Grabens 220 in der dielektrischen Schicht 115 in 7D verwendet.
  • In 8E, da der Bosch-Prozess Vorrichtungssubstrat 102 während jeder Ätzphase seitlich ätzt (wie auch vertikal ätzt), hat Graben 220 gewellte Seitenwände, wellige Seitenwände, raue Seitenwände oder Kombinationen davon in Vorrichtungssubstrat 102, die durch krummlinige Segmente 226 gebildet werden. Raue Seitenwände können die anschließend gebildete TSV 130 beeinträchtigen. Zum Beispiel kann sich TSV 130 von gewellten Seitenwänden und/oder rauen Seitenwänden von Vorrichtungssubstrat 102 ablösen. Daher wird in 7F ein Glättungsprozess an Seitenwänden von Graben 220 durchgeführt. Parameter des Glättungsprozesses werden abgestimmt, um gewellte Seitenwände, wellige Seitenwände, raue Seitenwände oder Kombinationen davon von Graben 220 in Vorrichtungssubstrat 102 zu entfernen. Zum Beispiel hat Graben 220 nach dem Glättungsprozess im Wesentlichen lineare Seitenwände und/oder im Wesentlichen flache Seitenwände 228. In manchen Ausführungsformen ist der Glättungsprozess ein Ätzprozess, der selektiv ein Halbleitermaterial (z.B. Siliziumteile von Vorrichtungssubstrat 102) mit minimaler (bis keiner) Entfernung eines dielektrischen Materials (z.B. dielektrische Schicht 115) entfernt. Der Ätzprozess ist ein Trockenätzprozess, ein Nassätzprozess, anderer Ätzprozess oder Kombinationen davon. In manchen Ausführungsformen entfernt der Glättungsprozess auch Schutzschicht 224 von Graben 220. In manchen Ausführungsformen wird der Glättungsprozess nicht durchgeführt, sondern es wird ein geeigneter Prozess wie ein Ätzprozess und/oder ein Reinigungsprozess durchgeführt, um Schutzschicht 224 vor dem Bilden der TSV 130 in Graben 220 zu entfernen. In manchen Ausführungsformen werden separate Prozesse verwendet, um Seitenwände von Graben 220 zu glätten und Schutzschicht 224 zu entfernen, wie ein erster Ätzprozess, der konfiguriert ist, Schutzschicht 224 zu entfernen, und ein zweiter Ätzprozess, um Seitenwände von Graben 220 zu glätten. In solchen Ausführungsformen haben der erste Ätzprozess und der zweite Ätzprozess mindestens einen Parameter, der unterschiedlich ist (z.B. Ätzgas, Ätzzeit usw.).
  • In 7G fährt die Fertigung mit dem Füllen von Graben 220 mit TSV 130 fort. TSV 130 erstreckt sich durch die dielektrische Schicht 115 und durch Vorrichtungssubstrat 102 bis zu Tiefe D. TSV 130 weist einen leitfähigen Stopfen 240 auf, der über einer Sperrschicht 242 angeordnet ist. In manchen Ausführungsformen wird TSV 130 durch Abscheiden eines Sperrmaterials (z.B. TiN oder TaN) über Werkstück 200, das Graben 220 teilweise füllt, Abscheiden eines leitfähigen Bulkmaterials (z.B. Cu) über Werkstück 200, das den Rest des Grabens 220 füllt, und Durchführen eines Planarisierungsprozesses (z.B. CMP), um überschüssiges Sperrschichtmaterial und überschüssiges leitfähiges Bulkmaterial von über dem Werkstück 200 (z.B. von über einer Deckfläche von dielektrischer Schicht 115, Deckflächen von Metallleitungen 116 von Interconnect-Schicht auf (n+x)-Ebene und Deckflächen von Metallleitungen 116 von (a+b)-Interconnect-Struktur von Schutzring 140) zu entfernen, gebildet. Ein Rest von Sperrmaterial und leitfähigem Bulkmaterial, das Graben 220 füllt, bilden Sperrschicht 242 beziehungsweise leitfähigen Stopfen 240.
  • In 7H wird ein Ausdünnungsprozess durchgeführt, um die Dicke T2 von Vorrichtungssubstrat 102 auf eine Dicke T3 zu verringern und TSV 130 freizulegen, sodass TSV 130 sich vollständig durch Vorrichtungssubstrat 102 erstreckt. Zum Beispiel hat nach dem Ausdünnungsprozess TSV 130 eine Länge L2 in Vorrichtungssubstrat 102, die gleich Dicke T3 ist, und TSV 130 erstreckt sich von Seite 104 (z.B. Vorderseite) zu Seite 106 (z.B. Rückseite) von Vorrichtungssubstrat 102. Länge L2 ist gleich gewünschter Länge Hb von TSV 130 in Vorrichtungssubstrat 102. Länge L1 von TSV 130 ist kleiner als oder gleich gewünschter Länge Ha von TSV 130 in der dielektrischen Schicht 115. In Ausführungsformen, wo die Tiefe D2 des Grabens 220 größer als die gewünschte Länge Hb von TSV 130 in Vorrichtungssubstrat 102 ist, fährt der Ausdünnungsprozess nach Freilegen der TSV 130 fort, um eine Länge von TSV 130 zu verringern. In solchen Ausführungsformen wird der Ausdünnungsprozess für eine ausreichende Dauer fortgesetzt, um eine Länge von TSV 130 von einer ersten Länge (z.B. eine Länge gleich Tiefe D2) auf eine zweite Länge (z.B. Länge L2, die gleich der gewünschten Länge Hb ist) zu verringern. Der Ausdünnungsprozess verringert somit die Dicke von Vorrichtungssubstrat 102 und/oder Länge von TSV 130 entlang der z-Richtung. Der Ausdünnungsprozess ist ein Schleifprozess, ein Planarisierungsprozess (z.B. CMP), ein Ätzprozess, ein anderer geeigneter Prozess oder Kombinationen davon. Der Ausdünnungsprozess wird an der Seite 106 von Vorrichtungssubstrat 102 angewendet. In manchen Ausführungsformen wird Werkstück 200 an einem Trägerwafer vor Durchführen des Ausdünnungsprozesses befestigt. Zum Beispiel können die dielektrische Schicht 115 und/oder eine oberste strukturierte Metallschicht (z.B. TC-Schicht) an einen Trägerwafer gebondet sein.
  • In 7I wird TC-Schicht über MLI-Strukturelement 110, TSV 130, und Schutzring 140 gebildet. In manchen Ausführungsformen ist Länge Ha eine Summe einer Dicke von Durchkontaktierung 224 in TC-Schicht und Länge L1 von TSV 130 in der dielektrischen Schicht 115 vor Bilden der TC-Schicht. In manchen Ausführungsformen enthält Länge Ha eine Dicke von Durchkontaktierung 224 in TC-Schicht nicht, und Länge Ha von TSV 130 in der dielektrischen Schicht 115 ist gleich Länge L1 von TSV 130 in der dielektrischen Schicht 115 vor Bilden der TC-Schicht. In manchen Ausführungsformen umfasst Bilden der TC-Schicht Abscheiden einer Passivierungsschicht über Werkstück 200 und Strukturieren der Passivierungsschicht, um darin Öffnungen zu haben, die Metallleitungen 116 von Interconnect-Schicht auf (n+x)-Ebene von MLI-Strukturelement 110, TSV 130, und Metallleitungen 116 von (a+b)-Interconnect-Struktur von Schutzring 140 (d.h. oberste Metallstrukturelemente von Werkstück 200) freilegen. eine der Öffnungen in der strukturierten Passivierungsschicht kann TSV 130, Schutzring 140 und dielektrische Schicht 115 zwischen TSV 130 und Schutzring 140 freilegen. In manchen Ausführungsformen umfasst Bilden der TC-Schicht weiter Abscheiden eines leitfähigen Materials über Werkstück 200, das die Öffnungen in der strukturierten Passivierungsschicht füllt, und Durchführen eines Planarisierungsprozesses, der überschüssiges leitfähiges Material von über einer Deckfläche der Passivierungsschicht entfernt, wodurch Kontakte 120, Kontakt 122 und Durchkontaktierungen 124 in der Passivierungsschicht gebildet werden. Abscheiden des leitfähigen Materials kann Abscheiden einer Sperrschicht über der strukturierten Passivierungsschicht, die teilweise die Öffnungen darin füllt, und Abscheiden einer Bulk-Schicht über der Sperrschicht, die einen Rest der Öffnungen füllt, umfassen. In manchen Ausführungsformen wird die TC-Schicht vor dem Ausdünnungsprozess gebildet.
  • 9 ist ein Ablaufdiagramm eines Verfahrens 300 zum Fertigen einer Durchkontaktierung, wie TSV 130, gemäß verschiedenen Aspekten der vorliegenden Offenbarung. In Block 310 umfasst Verfahren 300 Bilden einer Back-End-of-Line-Struktur (BEOL-Struktur) über einer ersten Seite eines Halbleitersubstrats. Die BEOL-Struktur weist strukturierte Metallschichten auf, die in einer dielektrischen Schicht angeordnet sind. Das Halbleitersubstrat hat eine zweite Seite, die der ersten Seite entgegengesetzt ist. Die BEOL-Struktur hat eine erste Dicke, das Halbleitersubstrat hat eine zweite Dicke und die zweite Dicke ist größer als die erste Dicke. In Block 315 umfasst Verfahren 300 Bilden eines Grabens, der sich durch die dielektrische Schicht der BEOL-Struktur und zu einer Tiefe in dem Halbleitersubstrat erstreckt. Die Tiefe ist größer als die erste Dicke und kleiner als die zweite Dicke. In Block 320 umfasst Verfahren 300 Bilden einer leitfähigen Struktur in dem Graben. In Block 325 umfasst Verfahren 300 Durchführen eines Ausdünnungsprozesses an der zweiten Seite des Halbleitersubstrats, um die leitfähige Struktur freizulegen. Die leitfähige Struktur erstreckt sich nach dem Ausdünnungsprozess von der ersten Seite zu der zweiten Seite des Halbleitersubstrats. In manchen Ausführungsformen umfasst Verfahren 300 weiter Bilden eines Stapels von Interconnect-Strukturen, während die BEOL-Struktur gebildet wird. Der Stapel von Interconnect-Strukturen bildet einen Ring, der ein Gebiet der dielektrischen Schicht definiert. Der Graben wird in dem Gebiet der dielektrischen Schicht gebildet und erstreckt sich durch dieses hindurch. In manchen Ausführungsformen hat die leitfähige Struktur eine erste Länge in der dielektrischen Schicht und eine zweite Länge in dem Halbleitersubstrat. In manchen Ausführungsformen ist die erste Länge kleiner als die zweite Länge. In manchen Ausführungsformen ist ein Verhältnis der ersten Länge zu der zweiten Länge etwa 0,25 bis etwa 0,5. In manchen Ausführungsformen bilden die BEOL-Struktur und das Halbleitersubstrat eine Halbleiterstruktur, die an einer anderen Halbleiterstruktur befestigt (an diese gebondet) werden kann. Zum Beispiel ist die zweite Seite des Halbleitersubstrats an einer zweiten Halbleiterstruktur befestigt und die leitfähige Struktur verbindet die erste Halbleiterstruktur und die zweite Halbleiterstruktur elektrisch und/oder physisch. 9 wurde zur Klarheit vereinfacht, um die erfindungsgemäßen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Schritte können vor, während, und nach Verfahren 300 bereitgestellt werden und einige der beschriebenen Schritte können für zusätzliche Ausführungsformen von Verfahren 300 verschoben, ersetzt oder eliminiert werden.
  • 10 ist eine fragmentarische schematische Querschnittsansicht eines Teils oder der Gesamtheit von Vorrichtungssubstrat 102 gemäß verschiedenen Aspekten der vorliegenden Offenbarung. In 10 hat Vorrichtungssubstrat 102 Vorrichtungsgebiet 202A, Vorrichtungsgebiet 202B, und Zwischengebiet 202C. Vorrichtungssubstrat 102 weist ein Halbleitersubstrat 402 und verschiedene Transistoren auf, wie einen Transistor 404A in Vorrichtungsgebiet 202A und einen Transistor 404B in Vorrichtungsgebiet 202B. Transistor 404A und Transistor 404B weisen jeweils eine entsprechende Gate Struktur 410 auf (die Gate-Abstandhalter aufweisen kann, die entlang eines Gate-Stapels angeordnet sind (z.B. eine Gate-Elektrode, die über einem Gate-Dielektrikum angeordnet ist)), die zwischen entsprechenden Source/Drains 412 (z.B. epitaktischen Source/Drains) angeordnet sind, die auf, in und/oder über Halbleitersubstrat 402 angeordnet sind, wobei sich ein Kanal zwischen entsprechenden Source/Drains 412 in Halbleitersubstrat 402 erstreckt. Vorrichtungssubstrat 102 kann weiter Isolierstrukturen 414, wie flache Graben-Isolierstrukturelemente aufweisen, die Transistoren, wie Transistor 404A und Transistor 404B und/oder andere Vorrichtungen von Vorrichtungssubstrat 102 voneinander trennen und/oder elektrisch isolieren. Vorrichtungssubstrat 102 weist weiter eine dielektrische Schicht 420 und eine dielektrische Schicht 422 auf, die ähnlich den hier beschriebenen dielektrischen Schichten sind und ähnlich wie diese gefertigt werden können (d.h. dielektrische Schicht 420 kann eine oder mehrere ILD-Schichten und/oder eine oder mehrere CESLs aufweisen). Gate Kontakte 432 sind in der dielektrischen Schicht 420 und dielektrischen Schicht 422 angeordnet, Source/Drain-Kontakte 434 sind in der dielektrischen Schicht 420 angeordnet, und Durchkontaktierungen 436 sind in der dielektrischen Schicht 422 angeordnet. Gate Kontakte 432 verbinden Gate Strukturen 410 (insbesondere Gate-Elektroden) elektrisch und physisch mit MLI-Strukturelement 110 und Source/Drain-Kontakte 434 und/oder Durchkontaktierungen 436 verbinden Source/Drains 412 elektrisch und physisch mit MLI-Strukturelement 110. In manchen Ausführungsformen bilden dielektrische Schicht 420, dielektrische Schicht 422, Gate Kontakte 432, Source/Drain-Kontakte 434 und Durchkontaktierungen 436 eine MEOL-Schicht 440. In manchen Ausführungsformen sind Gate Kontakte 432, Source/Drain-Kontakte 434, Durchkontaktierungen 436 oder Kombinationen davon physisch und/oder elektrisch mit Interconnect-Schicht auf n-Ebene von MLI-Strukturelement 110 verbunden. In manchen Ausführungsformen können Gate Kontakte 432 und/oder Durchkontaktierungen 436 einen Teil von Vn-Schicht von Interconnect-Schicht auf n-Ebene bilden und Gate Kontakte 432 und/oder Durchkontaktierungen 436 sind physisch und/oder elektrisch mit Mn-Schicht von Interconnect-Schicht auf n-Ebene verbunden. In solchen Ausführungsformen können Durchkontaktierungen 118 einer Interconnect-Struktur von Schutzring 140 in der dielektrischen Schicht 422 angeordnet sein. In manchen Ausführungsformen bilden dielektrische Schicht 420 und/oder dielektrische Schicht 422 einen Teil von dielektrischer Schicht 115. In manchen Ausführungsformen sind Kontakte (nicht gezeigt) in der dielektrischen Schicht 420 über einem dotierten Gebiet in Halbleitersubstrat 402 in Zwischengebiet 202C angeordnet und Durchkontaktierungen (nicht gezeigt) sind in der dielektrischen Schicht 422 über den Kontakten angeordnet. In manchen Ausführungsformen können die Kontakte und die Durchkontaktierungen in Zwischengebiet 202C das dotierte Gebiet physisch und/oder elektrisch mit Schutzring 140 verbinden. In solchen Ausführungsformen können die Durchkontaktierungen Durchkontaktierungen 118 einer Interconnect-Struktur von Schutzring 140 sein und in manchen Ausführungsformen können die Durchkontaktierungen in Vn-Schicht von Interconnect-Schicht auf n-Ebene angeordnet sein. 10 wurde zur Klarheit vereinfacht, um die erfindungsgemäßen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Strukturelemente können in Vorrichtungssubstrat 102 hinzugefügt werden und manche der unten beschriebenen Strukturelemente können in anderen Ausführungsformen von Vorrichtungssubstrat 102 ersetzt, modifiziert oder eliminiert werden.
  • Die vorliegende Offenbarung stellt viele unterschiedliche Ausführungsformen bereit. Eine beispielhafte Halbleiterstruktur weist ein Vorrichtungssubstrat mit einer ersten Seite und einer zweiten Seite auf. Eine dielektrische Schicht ist über der ersten Seite des Vorrichtungssubstrats angeordnet. Eine Durchkontaktierung erstreckt sich entlang einer ersten Richtung durch die dielektrische Schicht und durch das Vorrichtungssubstrat von der ersten Seite zu der zweiten Seite. Die Durchkontaktierung hat eine Gesamtlänge entlang der ersten Richtung und eine Breite entlang einer zweiten Richtung, die sich von der ersten Richtung unterscheidet. Die Gesamtlänge ist eine Summe einer ersten Länge der Durchkontaktierung in der dielektrischen Schicht und einer zweiten Länge der Durchkontaktierung in dem Vorrichtungssubstrat. Die erste Länge ist kleiner als die zweite Länge. Ein Schutzring ist in der dielektrischen Schicht und um die Durchkontaktierung angeordnet.
  • In manchen Ausführungsformen ist ein Verhältnis der ersten Länge zu der zweiten Länge etwa 0,25 bis etwa 0,5. In manchen Ausführungsformen ist ein Verhältnis der Breite zu der ersten Länge etwa 0,5 bis etwa 2,0. In manchen Ausführungsformen ist die erste Länge etwa 1,5 µm bis etwa 2,5 µm und die Breite ist etwa 1,5 µm bis etwa 2,5 µm. In manchen Ausführungsformen ist die Durchkontaktierung eine Metalldurchkontaktierung.
  • In manchen Ausführungsformen weist der Schutzring Metallschichten auf, die entlang der ersten Richtung gestapelt sind. Die Metallschichten weisen erste Seitenwände und zweite Seitenwände auf. Die ersten Seitenwände bilden eine innere Seitenwand des Schutzrings und die zweiten Seitenwände bilden eine äußere Seitenwand des Schutzrings. Die ersten Seitenwände sind entlang einer Achse ausgerichtet, die sich entlang der ersten Richtung erstreckt. In manchen Ausführungsformen begrenzt die innere Seitenwand ein Gebiet der dielektrischen Schicht und die Durchkontaktierung erstreckt sich durch das Gebiet der dielektrischen Schicht. In manchen Ausführungsformen ist eine Distanz zwischen der inneren Seitenwand und der Durchkontaktierung etwa 0,2 µm bis etwa 0,5 µm. Die Distanz ist entlang der zweiten Richtung. In manchen Ausführungsformen weist die Halbleiterstruktur weiter eine obere Kontaktschicht auf, die mit der Durchkontaktierung und dem Schutzring verbunden ist. In manchen Ausführungsformen weisen die Metallschichten des Schutzrings einen ersten Satz von Metallschichten und einen zweiten Satz von Metallschichten auf. Der erste Satz von Metallschichten ist zwischen dem Vorrichtungssubstrat und dem zweiten Satz von Metallschichten angeordnet. Der zweite Satz von Metallschichten ist mit dem ersten Satz von Metallschichten und der oberen Kontaktschicht verbunden. In manchen Ausführungsformen hat die obere Kontaktschicht eine erste Dicke entlang der ersten Richtung, der zweite Satz von Metallschichten hat eine zweite Dicke entlang der ersten Richtung und der erste Satz von Metallschichten hat eine dritte Dicke entlang der ersten Richtung. In manchen Ausführungsformen ist die erste Dicke größer als die zweite Dicke und die dritte Dicke. In manchen Ausführungsformen ist die dritte Dicke größer als die zweite Dicke.
  • Eine beispielhafte Halbleiteranordnung weist eine erste Halbleiterstruktur und eine zweite Halbleiterstruktur auf. Die erste Halbleiterstruktur hat eine dielektrische Schicht über einem Halbleitersubstrat. Eine leitfähige Struktur erstreckt sich eine erste Distanz durch die dielektrische Schicht der ersten Halbleiterstruktur und eine zweite Distanz durch das Halbleitersubstrat der ersten Halbleiterstruktur zu der zweiten Halbleiterstruktur. Ein Verhältnis der ersten Distanz zu der zweiten Distanz ist etwa 0,25 bis etwa 0,5. Ein Stapel von Interconnect-Strukturen ist in der dielektrischen Schicht angeordnet. Der Stapel von Interconnect-Strukturen bildet einen Ring um die leitfähige Struktur. In manchen Ausführungsformen ist ein Verhältnis eines Durchmessers der leitfähigen Struktur und der ersten Distanz etwa ist etwa 0,5 bis etwa 2. In manchen Ausführungsformen ist die erste Distanz kleiner als eine Dicke der dielektrischen Schicht und die zweite Distanz ist gleich einer Dicke des Halbleitersubstrats. In manchen Ausführungsformen weist die leitfähige Struktur einen Kupferstopfen auf, der über einer Sperrschicht angeordnet ist.
  • In manchen Ausführungsformen ist die erste Halbleiterstruktur ein erster Chip und die zweite Halbleiterstruktur ist ein zweiter Chip. In manchen Ausführungsformen weist die erste Halbleiterstruktur weiter Metallisierungsschichten auf, die in der dielektrischen Schicht angeordnet sind, und eine Anzahl von Interconnect-Strukturen in dem Stapel von Interconnect-Strukturen ist gleich einer Anzahl von Metallisierungsschichten, die in der dielektrischen Schicht angeordnet sind. In manchen Ausführungsformen hat der Stapel von Interconnect-Strukturen eine im Wesentlichen vertikale Seitenwand und die dielektrische Schicht füllt einen Abstand zwischen der leitfähigen Struktur und der im Wesentlichen vertikalen Seitenwand. In manchen Ausführungsformen ist der Abstand zwischen der leitfähigen Struktur und der im Wesentlichen vertikalen Seitenwand etwa 0,2 µm bis etwa 0,5 µm. In manchen Ausführungsformen weist der Stapel von Interconnect-Strukturen Metallleitungen und Durchkontaktierungen auf. Jede Interconnect-Struktur des Stapels von Interconnect-Strukturen hat eine entsprechende Metallleitung und eine entsprechende Durchkontaktierung. Die Metallleitungen weisen erste Seitenwände auf, die der leitfähigen Struktur gegenüberliegen, und zweite Seitenwände, die den ersten Seitenwänden entgegengesetzt sind. In manchen Ausführungsformen sind die ersten Seitenwände vertikal ausgerichtet.
  • Das Vorangehende umreißt Merkmale einiger Ausführungsformen, sodass Fachkundige die Aspekte der vorliegenden Offenbarung besser verstehen werden. Fachkundige werden begrüßen, dass sie die vorliegende Offenbarung bereits als eine Basis dafür verwenden können, andere Prozesse und Strukturen zum Umsetzen derselben Zwecke und/oder Erzielen derselben Vorteile der hierin vorgestellten Ausführungsformen zu gestalten oder zu modifizieren. Fachkundige sollten auch erkennen, dass solche gleichwertigen Konstruktionen nicht von dem Wesen und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hierin vornehmen können, ohne von dem Wesen und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63289698 [0001]
  • Zitierte Nicht-Patentliteratur
    • Jede von Interconnect-Schicht zweiter Ebene bis Interconnect-Schicht sechster Ebene von MLI-Strukturelement 110 und entsprechende zweite Interconnect-Struktur bis sechste Interconnect-Struktur von Schutzring 140 können wie oben unter Bezugnahme auf Fertigung von Interconnect-Schicht erster Ebene von MLI-Strukturelement 110 und erster Interconnect-Struktur von Schutzring 140 [0048]
    • Jede von Interconnect-Schicht siebenter Ebene bis Interconnect-Schicht zehnter Ebene von MLI-Strukturelement 110 [0049]
    • Interconnect-Schicht erster Ebene von MLI-Strukturelement 110 und erster Interconnect-Struktur von Schutzring 140 [0049]

Claims (20)

  1. Halbleiterstruktur, aufweisend: ein Vorrichtungssubstrat mit einer ersten Seite und einer zweiten Seite; eine dielektrische Schicht, die über der ersten Seite des Vorrichtungssubstrats angeordnet ist; eine Durchkontaktierung, die sich entlang einer ersten Richtung durch die dielektrische Schicht und durch das Vorrichtungssubstrat von der ersten Seite zu der zweiten Seite erstreckt, wobei: die Durchkontaktierung eine Gesamtlänge entlang der ersten Richtung und eine Breite entlang einer zweiten Richtung, die sich von der ersten Richtung unterscheidet, hat, die Gesamtlänge eine Summe einer ersten Länge der Durchkontaktierung in der dielektrischen Schicht und einer zweiten Länge der Durchkontaktierung in dem Vorrichtungssubstrat ist und die erste Länge kleiner als die zweite Länge ist; und ein Schutzring in der dielektrischen Schicht und um die Durchkontaktierung angeordnet ist.
  2. Halbleiterstruktur nach Anspruch 1, wobei ein Verhältnis der ersten Länge zu der zweiten Länge etwa 0,25 bis etwa 0,5 ist.
  3. Halbleiterstruktur nach Anspruch 1 oder 2, wobei ein Verhältnis der Breite zu der ersten Länge etwa 0,5 bis etwa 2,0 ist.
  4. Halbleiterstruktur nach Anspruch 3, wobei die erste Länge etwa 1,5 µm bis etwa 2,5 µm ist und die Breite etwa 1,5 µm bis etwa 2,5 µm ist.
  5. Halbleiterstruktur nach einem der vorstehenden Ansprüche, wobei: der Schutzring Metallschichten aufweist, die entlang der ersten Richtung gestapelt sind; die Metallschichten erste Seitenwände und zweite Seitenwände aufweisen; die ersten Seitenwände eine innere Seitenwand des Schutzrings bilden und die zweiten Seitenwände eine äußere Seitenwand des Schutzrings bilden; und die ersten Seitenwände entlang einer Achse ausgerichtet sind, die sich entlang der ersten Richtung erstreckt.
  6. Halbleiterstruktur nach Anspruch 5, wobei: die innere Seitenwand ein Gebiet der dielektrischen Schicht begrenzt; die Durchkontaktierung sich durch das Gebiet der dielektrischen Schicht erstreckt; und eine Distanz zwischen der inneren Seitenwand und der Durchkontaktierung etwa 0,2 µm bis etwa 0,5 µm ist und die Distanz entlang der zweiten Richtung ist.
  7. Halbleiterstruktur nach Anspruch 5 oder 6, weiter aufweisend eine obere Kontaktschicht, die mit der Durchkontaktierung und dem Schutzring verbunden ist, wobei: die Metallschichten des Schutzrings einen ersten Satz von Metallschichten und einen zweiten Satz von Metallschichten aufweisen, wobei der erste Satz von Metallschichten zwischen dem Vorrichtungssubstrat und dem zweiten Satz von Metallschichten angeordnet ist und der zweite Satz von Metallschichten mit dem ersten Satz von Metallschichten und der oberen Kontaktschicht verbunden ist; die obere Kontaktschicht eine erste Dicke entlang der ersten Richtung hat, der zweite Satz von Metallschichten eine zweite Dicke entlang der ersten Richtung hat und der erste Satz von Metallschichten eine dritte Dicke entlang der ersten Richtung hat; und die erste Dicke größer ist als die zweite Dicke und die dritte Dicke und die dritte Dicke größer als die zweite Dicke ist.
  8. Halbleiterstruktur nach einem der vorstehenden Ansprüche, wobei die Durchkontaktierung eine Metall Durchkontaktierung ist.
  9. Halbleiteranordnung, aufweisend: eine erste Halbleiterstruktur mit einer dielektrischen Schicht über einem Halbleitersubstrat; eine zweite Halbleiterstruktur; eine leitfähige Struktur, die sich eine erste Distanz durch die dielektrische Schicht der ersten Halbleiterstruktur und eine zweite Distanz durch das Halbleitersubstrat der ersten Halbleiterstruktur zu der zweiten Halbleiterstruktur erstreckt, wobei ein Verhältnis der ersten Distanz zu der zweiten Distanz etwa 0,25 bis etwa 0,5 ist; und einen Stapel von Interconnect-Strukturen, der in der dielektrischen Schicht angeordnet ist, wobei der Stapel von Interconnect-Strukturen einen Ring um die leitfähige Struktur bildet.
  10. Halbleiteranordnung nach Anspruch 9, wobei ein Verhältnis eines Durchmessers der leitfähigen Struktur und der ersten Distanz etwa 0,5 bis etwa 2 ist.
  11. Halbleiteranordnung nach Anspruch 9 oder 10, wobei die erste Distanz kleiner als eine Dicke der dielektrischen Schicht ist und die zweite Distanz gleich einer Dicke des Halbleitersubstrats ist.
  12. Halbleiteranordnung nach einem der vorstehenden Ansprüche 9 bis 11, wobei die erste Halbleiterstruktur ein erster Chip ist und die zweite Halbleiterstruktur ein zweiter Chip ist.
  13. Halbleiteranordnung nach einem der vorstehenden Ansprüche 9 bis 12, wobei die erste Halbleiterstruktur weiter Metallisierungsschichten aufweist, die in der dielektrischen Schicht angeordnet sind, wobei eine Anzahl von Interconnect-Strukturen in dem Stapel von Interconnect-Strukturen gleich einer Anzahl von Metallisierungsschichten ist, die in der dielektrischen Schicht angeordnet sind.
  14. Halbleiteranordnung nach einem der vorstehenden Ansprüche 9 bis 13, wobei die leitfähige Struktur einen Kupferstopfen aufweist, der über einer Sperrschicht angeordnet ist.
  15. Halbleiteranordnung nach einem der vorstehenden Ansprüche 9 bis 14, wobei der Stapel von Interconnect-Strukturen eine im Wesentlichen vertikale Seitenwand hat, wobei die dielektrische Schicht einen Abstand zwischen der leitfähigen Struktur und der im Wesentlichen vertikalen Seitenwand füllt.
  16. Halbleiteranordnung nach Anspruch 15, wobei der Abstand zwischen der leitfähigen Struktur und der im Wesentlichen vertikalen Seitenwand etwa 0,2 µm bis etwa 0,5 µm ist.
  17. Halbleiteranordnung nach Anspruch 15 oder 16, wobei: der Stapel von Interconnect-Strukturen Metallleitungen und Durchkontaktierungen aufweist, wobei jede Interconnect-Struktur des Stapels von Interconnect-Strukturen eine entsprechende Metallleitung und eine entsprechende Durchkontaktierung hat; und die Metallleitungen erste Seitenwände, die der leitfähigen Struktur gegenüberliegen, und zweite Seitenwände, die den ersten Seitenwänden entgegengesetzt sind, haben, wobei die ersten Seitenwände vertikal ausgerichtet sind.
  18. Verfahren, umfassend: Bilden einer Back-End-of-Line-Struktur (BEOL-Struktur) über einer ersten Seite eines Halbleitersubstrats, wobei die BEOL-Struktur strukturierte Metallschichten aufweist, die in einer dielektrischen Schicht angeordnet sind, das Halbleitersubstrat eine zweite Seite hat, die der ersten Seite entgegengesetzt ist, die BEOL-Struktur eine erste Dicke hat, das Halbleitersubstrat eine zweite Dicke hat und die zweite Dicke größer ist als die erste Dicke; Bilden eines Grabens, der sich durch die dielektrische Schicht der BEOL-Struktur und zu einer Tiefe D in dem Halbleitersubstrat erstreckt, wobei die Tiefe D größer als die erste Dicke und kleiner als die zweite Dicke ist; Bilden einer leitfähigen Struktur in dem Graben; und Durchführen eines Ausdünnungsprozesses an der zweiten Seite des Halbleitersubstrats, um die leitfähige Struktur freizulegen, wobei die leitfähige Struktur sich nach dem Ausdünnungsprozess von der ersten Seite zu der zweiten Seite des Halbleitersubstrats erstreckt.
  19. Verfahren nach Anspruch 18, wobei die leitfähige Struktur eine erste Länge in der dielektrischen Schicht und eine zweite Länge in dem Halbleitersubstrat hat und ein Verhältnis der ersten Länge zu der zweiten Länge etwa 0,25 bis etwa 0,5 ist.
  20. Verfahren nach Anspruch 18 oder 19, weiter umfassend Bilden eines Stapels von Interconnect-Strukturen während die BEOL-Struktur gebildet wird, wobei der Stapel von Interconnect-Strukturen einen Ring bildet, der ein Gebiet der dielektrischen Schicht definiert, und wobei der Graben in dem Gebiet der dielektrischen Schicht gebildet ist und sich durch dieses erstreckt.
DE102022129996.1A 2021-12-15 2022-11-14 Durchkontaktierungsstruktur Pending DE102022129996A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163289698P 2021-12-15 2021-12-15
US63/289,698 2021-12-15
US17/833,481 US20230187315A1 (en) 2021-12-15 2022-06-06 Through Via Structure
US17/833,481 2022-06-06

Publications (1)

Publication Number Publication Date
DE102022129996A1 true DE102022129996A1 (de) 2023-06-15

Family

ID=86488658

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022129996.1A Pending DE102022129996A1 (de) 2021-12-15 2022-11-14 Durchkontaktierungsstruktur

Country Status (4)

Country Link
US (1) US20230187315A1 (de)
KR (1) KR20230090983A (de)
CN (1) CN115910957A (de)
DE (1) DE102022129996A1 (de)

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Interconnect-Schicht erster Ebene von MLI-Strukturelement 110 und erster Interconnect-Struktur von Schutzring 140
Jede von Interconnect-Schicht siebenter Ebene bis Interconnect-Schicht zehnter Ebene von MLI-Strukturelement 110
Jede von Interconnect-Schicht zweiter Ebene bis Interconnect-Schicht sechster Ebene von MLI-Strukturelement 110 und entsprechende zweite Interconnect-Struktur bis sechste Interconnect-Struktur von Schutzring 140 können wie oben unter Bezugnahme auf Fertigung von Interconnect-Schicht erster Ebene von MLI-Strukturelement 110 und erster Interconnect-Struktur von Schutzring 140

Also Published As

Publication number Publication date
US20230187315A1 (en) 2023-06-15
TW202333251A (zh) 2023-08-16
KR20230090983A (ko) 2023-06-22
CN115910957A (zh) 2023-04-04

Similar Documents

Publication Publication Date Title
DE102009012594B4 (de) Durch-Substrat-Via-Halbleiterkomponenten
DE102009044961B4 (de) Chipintegrierte HF-Abschirmungen mit rückseitigen Umverdrahtungsleitungen
DE102014118969B4 (de) Verfahren zum Herstellen einer 3DIC Dichtungsring-Struktur
DE102016115000B4 (de) Dreidimensionale integrierte Schaltungs-(3DIC)-Vorrichtung und Verfahren zu deren Herstellung und ein Verfahren zum Bonden von Wafern mittels Hybrid-Bonden
DE102019118624B4 (de) Platzierung von dummy-dies ohne rückseitenaussplitterung
DE102009044955A1 (de) Chipintegrierte Hochfrequenzabschirmung mit Zwischenverbindungsmetallisierung
DE102016101777B4 (de) Verfahren zur Bearbeitung eines Wafers und Verfahren
DE10051601A1 (de) Halbleitervorrichtung mit einer Mehrschicht-Verbindungsstruktur
DE102010037339A1 (de) Durchsubstratmerkmale in Halbleitersubstraten
DE102009044956A1 (de) Chipintegrierte HF-Abschirmungen mit Durch-Substrat-Leitern
DE102018107927A1 (de) Verbindungsstruktur für Logikschaltkreis
DE102013105635A1 (de) Halbleiterbauelement und Verfahren zu seiner Herstellung
DE102017124072A1 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102021103804A1 (de) Passive Struktur auf SoIC
DE102020116884A1 (de) Übergrosse durchkontaktierung als substratdurchkontaktierungsstoppschicht (tsv-stoppschicht)
DE102021110267A1 (de) Deep-Partition-Leistungsabgabe mit Tiefgrabenkondensator
DE102021112540B4 (de) Antennenvorrichtung und -verfahren
DE102021118126A1 (de) Gestapeltes halbleiterbauelement und verfahren
DE102020124267A1 (de) Halbleitervorrichtung und Verfahren
DE102021100457A1 (de) Rückseiten- oder vorderseiten-substratdurchkontaktierungslandung (tsv-landung) auf metall
DE102014116262B4 (de) Halbleitervorrichtungen und Verfahren zu ihrer Herstellung
DE102023105422A1 (de) Halbleiterpackage mit abgestuftem dichtungsring und verfahren zu dessen herstellung
DE102022129996A1 (de) Durchkontaktierungsstruktur
DE102020120002B4 (de) Wärmeableitung in halbleitervorrichtungen
DE102020119947B4 (de) Struktur und verfahren zum bilden eines integrierten mim-kondensators mit hoher dichte

Legal Events

Date Code Title Description
R012 Request for examination validly filed