DE102022100707A1 - Gemeinsam verwendete wannenstruktur, layout und verfahren - Google Patents

Gemeinsam verwendete wannenstruktur, layout und verfahren Download PDF

Info

Publication number
DE102022100707A1
DE102022100707A1 DE102022100707.3A DE102022100707A DE102022100707A1 DE 102022100707 A1 DE102022100707 A1 DE 102022100707A1 DE 102022100707 A DE102022100707 A DE 102022100707A DE 102022100707 A1 DE102022100707 A1 DE 102022100707A1
Authority
DE
Germany
Prior art keywords
well
continuous
tsv
trough
pickup
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102022100707.3A
Other languages
English (en)
Inventor
Yang Zhou
Liu HAN
Qingchao Meng
Xinyong Wang
ZeJian Cai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TSMC China Co Ltd
TSMC Nanjing Co Ltd
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
TSMC China Co Ltd
TSMC Nanjing Co Ltd
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from CN202110641540.8A external-priority patent/CN115224028A/zh
Application filed by TSMC China Co Ltd, TSMC Nanjing Co Ltd, Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical TSMC China Co Ltd
Publication of DE102022100707A1 publication Critical patent/DE102022100707A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Eine integrierte Schaltungsstruktur (IC-Struktur) weist eine fortlaufende Wanne auf, die einen ersten bis dritten Wannenabschnitt aufweist. Die fortlaufende Wanne ist eine aus einer n-Wanne oder einer p-Wanne, der erste Wannenabschnitt verläuft in einer ersten Richtung, der zweite Wannenabschnitt erstreckt sich in einer zu der ersten Richtung orthogonalen Richtung von dem ersten Wannenabschnitt, und der der dritte Wannenabschnitt erstreckt sich parallel zu dem zweiten Wannenabschnitt in der zweiten Richtung von dem ersten Wannenabschnitt.

Description

  • HINTERGRUND
  • Der anhaltende Trend zur Miniaturisierung integrierter Schaltungen (ICs) hat zu immer kleineren Vorrichtungen geführt, die weniger Strom verbrauchen, aber einen größeren Funktionsumfang bei höheren Geschwindigkeiten als frühere Technologien bieten. Diese Miniaturisierung wurde durch Design- und Herstellungsinnovationen, die an zunehmend strengere Spezifikationen gebunden sind, erzielt. Verschiedene elektronische Designautomatisierungswerkzeuge (electronic design automation tools, EDA-Werkzeuge) werden verwendet, um Designs für Halbleiterbauelemente zu erzeugen, zu überarbeiten und zu überprüfen, während sichergestellt wird, dass die Design- und Herstellungsspezifikationen der IC-Strukturen erfüllt werden.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1A ist ein Diagramm einer IC-Struktur nach einigen Ausführungsformen.
    • 1B ist ein Diagramm einer IC-Struktur nach einigen Ausführungsformen.
    • 1C ist ein Diagramm eines IC-Packages nach einigen Ausführungsformen.
    • 2 ist ein Ablaufdiagramm eines Verfahrens zum Betreiben eines IC-Bauelements nach einigen Ausführungsformen.
    • 3 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen einer IC-Struktur nach einigen Ausführungsformen.
    • 4 ist ein Diagramm von IC-Layoutdiagrammen nach einigen Ausführungsformen.
    • 5 ist ein Ablaufdiagramm eines Verfahrens zum Erzeugen eines IC-Layoutdiagramms nach einigen Ausführungsformen.
    • 6A bis 6C sind Diagramme von IC-Layoutdiagrammen nach einigen Ausführungsformen.
    • 7 ist ein Ablaufdiagramm eines Verfahrens zum Erzeugen eines IC-Layoutdiagramms nach einigen Ausführungsformen.
    • 8 ist ein Blockdiagramm eines IC-Layoutdiagramm-Erzeugungssystems nach einigen Ausführungsformen.
    • 9 ist ein Blockdiagramm eines IC-Herstellungssystems und eines damit verbundenen IC-Herstellungsablaufs nach einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung bietet viele verschiedene Ausführungsformen oder Beispiele zur Umsetzung verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend sind spezifische Beispiele für Komponenten, Werte, Schritte, Tätigkeiten, Materialien, Anordnungen oder dergleichen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen keine Beschränkung darstellen. Andere Komponenten, Werte, Tätigkeiten, Materialien, Anordnungen oder dergleichen sind ins Auge gefasst. Zum Beispiel kann die Bildung eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in einem direkten Kontakt gebildet werden, und auch Ausführungsformen umfassen, bei denen zwischen dem ersten und dem zweiten Element zusätzliche Elemente gebildet werden können, so dass das erste und das zweite Element möglicherweise nicht in einem direkten Kontakt stehen. Zudem können in der vorliegenden Offenbarung Bezugszeichen und/oder - buchstaben bei den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Klarheit und schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Gestaltungen vor.
  • Ferner können räumlich bezogene Ausdrücke wie etwa „unterhalb“, „darunter“, „unter“, „darüber“, „über“ und dergleichen hier zur Vereinfachung der Beschreibung verwendet werden, um die in den Figuren dargestellte Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) zu beschreiben. Die räumlich bezogenen Ausdrücke sollen zusätzlich zu der in den Figuren dargestellten Ausrichtung verschiedene Ausrichtungen der Vorrichtung in Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht sein oder sich in anderen Ausrichtungen befinden), und die hier verwendeten räumlich bezogenen Ausdrücke können ebenfalls entsprechend interpretiert werden.
  • Bei verschiedenen Ausführungsformen weist eine auf einem IC-Layoutdiagramm beruhende IC-Struktur eine Wanne auf, die von mehr als zwei Reihen von IC-Vorrichtungen gemeinsam verwendet wird, indem sie einen ersten Abschnitt, der in einer zu den Reihen orthogonalen Richtung verläuft, und mehrere Abschnitte, die sich von dem ersten Abschnitt in die Reihen erstreckt, aufweist. Der erste Abschnitt entspricht einer Grenze eines IC-Blocks, der die IC-Vorrichtungen aufweist, z.B. eines Blocks zwischen Silizium-Durchkontaktierungen (through silicon vias, TSVs), oder einer Stelle in einem solchen. Der IC-Block, der die gemeinsam verwendete Wanne aufweist, ermöglicht einen Latch-Up-Schutz durch Aufnehmen einer einzelnen Abnehmerstruktur, z.B. einen Teil einer Abgriffszelle, wodurch jeder der mehreren Abschnitte vorgespannt werden kann, so dass die gemeinsam verwendete Wanne unter Verwendung einer Anzahl von Abnehmerstrukturen, die geringer als die Anzahl der mehreren Abschnitte ist, vorgespannt wird. Verglichen mit Ansätzen, bei denen eine Reihe oder ein Paar von Reihen von IC-Vorrichtungen einer einzelnen Wanne mit wenigstens einer Abnehmerstruktur entspricht, verwendet der IC-Block, der die gemeinsam verwendete Wanne aufweist, weniger Abnehmerstrukturen, weshalb er zu einer verbesserten Raumausnutzung für die IC-Vorrichtungen fähig ist.
  • 1A ist ein Diagramm einer IC-Struktur 100 nach einigen Ausführungsformen. Die IC-Struktur 100, die bei einigen Ausführungsformen auch als IC-Block 100 bezeichnet wird, entspricht einem Schaltungsabschnitt, z.B. einem digitalen Schaltungsblock, des Substrats eines IC-Dies, z.B. einer IC-Struktur 100D, die nachstehend unter Bezugnahme auf 1B besprochen wird, und ist bei einigen Ausführungsformen ferner in ein IC-Package, z.B. ein nachstehend unter Bezugnahme auf 1C besprochenes IC-Package 100P, aufgenommen. Zusätzlich zu der IC-Struktur 100 zeigt 1A die Richtungen X und Y.
  • Die IC-Struktur 100 ist ein nicht beschränkendes Beispiel für eine IC-Struktur, die durch Ausführen eines nachstehend unter Bezugnahme auf 3 besprochenen Verfahrens 300 oder eines Teils davon auf Basis eines IC-Layoutdiagramms, z.B. eines IC-Layoutdiagramms 600A bis 600C, das nachstehend unter Bezugnahme auf 6A bis 6C besprochen wird, hergestellt wird.
  • 1A zeigt eine Draufsicht auf die IC-Struktur 100 (deren X-Y-Ebene), die in der Y-Richtung verlaufende Grenzbereiche 100A und 100B sowie IC-Vorrichtungen 100C. die sich zwischen den Grenzbereichen 100A und 100B befinden, aufweist. Bei der Ausführungsform, die in 1A dargestellt ist, erstrecken sich fünf Reihen R1 bis R5 von IC-Vorrichtungen 100C in der X-Richtung, die bei einigen Ausführungsformen auch als Reihenrichtung bezeichnet wird.
  • Die IC-Struktur 100 weist eine fortlaufende Wanne WA, die bei einigen Ausführungsformen auch als gemeinsam verwendete Wanne WA bezeichnet wird, auf, die einen Abschnitt WAS, der sich in dem Grenzbereich 100A befindet und in der Y-Richtung verläuft, und Abschnitte WAP1 bis WAP3, die sich innerhalb einer oder mehrerer entsprechender der Reihen R1 bis R5 von dem Abschnitt WAS in die positive X-Richtung erstrecken, aufweist. Eine fortlaufende Wanne WB, die bei einigen Ausführungsformen auch als gemeinsam verwendete Wanne WB bezeichnet wird, weist einen Abschnitt WBS, der sich in dem Grenzbereich 100B befindet und in der Y-Richtung verläuft, und Abschnitte WBP1 bis WBP3, die sich innerhalb einer oder mehrerer entsprechender der Reihen R1 bis R5 in die negative X-Richtung erstrecken, auf. Die IC-Struktur 100 weist auch wenigstens ein Exemplar einer Abnehmerstruktur PA, die sich in der fortlaufenden Wanne WA befindet, und wenigstens ein Exemplar einer Abnehmerstruktur PB, die sich in der fortlaufenden Wanne WB befindet, auf, wie nachstehend besprochen wird.
  • Die Ausrichtung der in 1A dargestellten IC-Struktur 100 stellt ein nicht beschränkendes Beispiel dar, das zum Zweck der Erläuterung bereitgestellt ist. Bei einigen Ausführungsformen verlaufen die Grenzbereiche 100A und 100B und die Abschnitte WAS und WBS in der X-Richtung und erstrecken sich die Reihen R1 bis R5 und die Abschnitte WAP1 bis WAP3 und WBP1 bis WBP3 in die Y-Richtung. Bei einigen Ausführungsformen weist die IC-Struktur 100 eine Ausrichtung auf, die verglichen mit der in 1A dargestellten Ausrichtung horizontal und/oder vertikal umgekehrt ist.
  • Jede der Figuren in dieser Offenbarung, z.B. 1A, ist zum Zweck der Erläuterung vereinfacht. Die Figuren zeigen Ansichten von IC-Strukturen, Dies, Packages und Layoutdiagrammen, wobei verschiedene Elemente aufgenommen und ausgeschlossen wurden, um die nachstehende Besprechung zu vereinfachen. Bei verschiedenen Ausführungsformen weist eine dargestellte IC-Struktur, ein dargestellter Die, ein dargestelltes Package und/oder ein dargestelltes Layoutdiagramm zusätzlich zu den Elementen, die in 1A bis 1C, 4 und 6A bis 6C dargestellt sind, ein oder mehrere Elemente auf, die Stromverteilungsstrukturen, Metall-Interconnects, Kontakten, Durchkontaktierungen, Gatestrukturen oder anderen Transistorelementen, Isolationsstrukturen oder dergleichen entsprechen.
  • Bei verschiedenen Ausführungsformen weisen IC-Vorrichtungen 100C ein Logikgatter oder eine andere digitale Schaltung oder eine Kombination davon, eine Komponente eines Signal- oder Anwendungsprozessors, einen Speicher, einen Speicher mit hoher Bandbreite (high-bandwidth memory, HBM), ein System auf einer IC (system on an IC, SoIC), einen Sender und/oder Empfänger, eine anwendungsspezifische IC (ASIC), eine Schaltung mit hohem Integrationsgrad (large-scale integration. LSI) oder sehr hohem Integrationsgrad (very large-scale integration, VLSI), einen Spannungs- oder Stromregler oder dergleichen auf.
  • IC-Vorrichtungen 100C weisen sowohl n-Metalloxidhalbleitertransistoren (n-type metaloxide-semiconductor transistors, NMOS-Transistoren) als auch p-Metalloxidhalbleitertransistoren (p-type metal oxide semiconductor transistors, PMOS-Transistoren) (nicht dargestellt) auf. Die NMOS-Transistoren befinden sich in einer der fortlaufenden Wannen WA oder WB, und die PMOS-Transistoren befinden sich in der anderen fortlaufenden Wanne WA oder WB.
  • Bei der Ausführungsform, die in 1A gezeigt ist, erstrecken sich IC-Vorrichtungen 100C entlang jeder der Reihen R1 bis R5 mit einer Höhe CH1, die bei einigen Ausführungsformen auch als Zellenhöhe CH1 bezeichnet wird, von dem Grenzbereich 100A zu dem Grenzbereich 100B. Bei verschiedenen Ausführungsformen weist die IC-Struktur 100 IC-Vorrichtungen 100C, die anderweitig gestaltet sind, z.B. in einer oder mehreren Reihen eine oder mehrere Lücken aufweisen und/oder sich in einer oder mehreren Reihen teilweise zwischen den Grenzbereichen 100A und 100B erstrecken, so auf, dass sich die NMOS- und die PMOS-Transistoren in den fortlaufenden Wannen WA und WB befinden.
  • Bei der Ausführungsform, die in 1A gezeigt ist, entsprechen die IC-Vorrichtungen einer jeden aus einer Gesamtanzahl von fünf Reihen R1 bis R5 der IC-Struktur 100. Bei verschiedenen Ausführungsformen entsprechen IC-Vorrichtungen 100C einer jeden von weniger oder mehr als einer Gesamtanzahl von fünf Reihen und/oder einem Untersatz der Gesamtanzahl von Reihen der IC-Struktur 100.
  • Die IC-Struktur 100 ist dadurch so eingerichtet, dass sie eine gesamte Fläche aufweist, die wenigstens eine von den IC-Vorrichtungen 100C und den Grenzbereichen 100A und 100B eingenommene Fläche enthält. Bei einigen Ausführungsformen ist die gesamte Fläche der IC-Struktur 100 einer gesamten Fläche, die von den IC-Vorrichtungen 100C und den Grenzbereichen 100A und 100B eingenommen wird, gleich. Bei einigen Ausführungsformen ist die gesamte Fläche der IC-Struktur 100 größer als die gesamte Fläche, die von den IC-Vorrichtungen 100C und den Grenzbereiche n100A und 100b eingenommen wird, und weist die IC-Struktur 100 zusätzlich zu den IC-Vorrichtungen 100C und den Grenzbereichen 100A und 100B einen oder mehrere Bereiche (nicht gezeigt), z.B. eine oder mehrere Dummy-Vorrichtungen, auf.
  • Eine Wanne, z.B. die fortlaufende Wanne WA oder WB, ist ein fortlaufender Abschnitt eines Halbleiterwafers, z.B. eines Siliziumwafers (Si-Wafers) oder einer epitaktischen Si-Schicht, der zur Bildung eines oder mehrerer IC-Vorrichtungen, z.B. der IC-Vorrichtungen 100C, geeignet ist. Bei verschiedenen Ausführungsformen ist eine Wanne eine auf dem einen oder mehrere Akzeptor-Dotierstoffe, z.B. Bor (B) oder Aluminium (Al), enthaltenden Halbleiterabschnitt beruhende p-Wanne oder eine auf dem einen oder mehrere Donator-Dotierstoffe, z.B. Phosphor P) oder Arsen (As) enthaltenden Halbleiterabschnitt beruhende n-Wanne. Die fortlaufende Wanne WA ist eine aus der p-Wanne oder der n-Wanne, und die fortlaufende Wanne WB ist die andere der p-Wanne oder der n-Wanne.
  • Bei einigen Ausführungsformen ist die IC-Struktur 100 von einer oder mehreren Isolationsstrukturen (in 1A nicht gezeigt), d.h., einer Struktur, die ein oder mehrere dielektrische Materialien enthält, umgeben, so dass jede der fortlaufenden Wannen WA und WB elektrisch von dem Substrat außerhalb der IC-Struktur 100 isoliert ist. Die dielektrischen Materialien enthalten eines oder mehrere aus Siliziumdioxid (SiO2), Siliziumnitrid (Si3N4) und/oder einem dielektrischen Material mit einem hohen k-Wert, z.B. einem dielektrischen Material mit einem k-Wert von mehr als 3,8 oder 7,0 wie etwa Aluminiumoxid (Al2O3), Hafniumoxid (HFO2), Tantalpentoxid (Ta2O5) oder Titanoxid (TiO2), oder ein anderes geeignetes Material. Bei einigen Ausführungsformen ist die IC-Struktur 100 teilweise von einer oder mehreren Isolationsstrukturen umgeben, so dass eine der fortlaufenden Wannen WA oder WB oder beide mit einem oder mehreren Abschnitten des Substrats (nicht gezeigt) außerhalb der IC-Struktur 100 fortlaufend ist.
  • Bei der Ausführungsform, die in 1A dargestellt ist, weisen die fortlaufenden Wannen WA und WB eine gleiche Gesamtanzahl an entsprechenden Abschnitten WAP1 bis WAP3 (WAPn) und WBP1 bis WBP3 (WBPn) auf. Bei einigen Ausführungsformen weisen die fortlaufenden Wannen WA und WB unterschiedliche Anzahlen von entsprechenden Abschnitten, z.B. WAPn und WBPn±1, auf.
  • Bei der Ausführungsform, die in 1A gezeigt ist, weist jede der fortlaufenden Wannen WA und WB eine Gesamtanzahl von n = 3 entsprechenden Abschnitten WAPn und WBPn auf. Bei verschiedenen Ausführungsformen weist eine der fortlaufenden Wannen WA oder WB oder weisen beide eine Gesamtanzahl n auf, die kleiner oder größer als drei entsprechende Abschnitte WAPn oder WBPn ist.
  • Bei einigen Ausführungsformen weist eine der fortlaufenden Wannen WA oder WB oder weisen beide eine Gesamtanzahl n von entsprechenden Abschnitten auf, die von fünf (5) bis 75 reicht. Bei einigen Ausführungsformen weist eine der fortlaufenden Wannen WA oder WB oder weisen beide eine Gesamtanzahl n von entsprechenden Abschnitten auf, die von 15 bis 50 reicht. Bei einigen Ausführungsformen weist eine der fortlaufenden Wannen WA oder WB oder weisen beide eine Gesamtanzahl n von entsprechenden Abschnitten auf, die von 25 bis 40 reicht.
  • Bei einigen Ausführungsformen ermöglichen die fortlaufenden Wannenabschnitte WAPn und/oder WBPn mit der Gesamtanzahl von n, dass die IC-Struktur 100 eine Abmessung, z.B. in der Y-Achsen-Richtung, aufweist, die einer Größe der IC-Vorrichtungen 100C entspricht. Bei einigen Ausführungsformen ermöglichen die fortlaufenden Wannenabschnitte WAPn und/oder WBPn mit der Gesamtanzahl von n, dass die IC-Struktur 100 eine Abmessung aufweist, die einer Abmessung eines oder mehrerer Substratelemente (in 1A nicht gezeigt) außerhalb der IC-Struktur 100, z.B. einer Höhe einer TSV-Struktur TSVS, die nachstehend unter Bezugnahme auf 1B und 1C besprochen wird, entspricht.
  • Bei der Ausführungsform, die in 1A dargestellt ist, weisen die fortlaufenden Wannen WA und WB jeweilige Abschnitte WAPn und WBPn auf, die auf Basis des entsprechenden einzelnen Abschnitts WAS oder WBS, der in der Y-Richtung verläuft und sich in dem entsprechenden Grenzbereich 100A oder 100B befindet, miteinander fortlaufend sind. Bei verschiedenen Ausführungsformen weist eine der fortlaufenden Wannen WA oder WB oder weisen beide jeweilige Abschnitte WAPn oder WBPn auf, die auf Basis mehrerer Exemplare des entsprechenden Abschnitts WAS oder WBS, der in der Y-Richtung verläuft, und/oder eines oder mehrerer Exemplare des entsprechenden Abschnitts WAS oder WBS, der sich außerhalb des entsprechenden Grenzbereichs 100A oder 100B in der IC-Struktur 100 befindet, miteinander fortlaufend sind.
  • Durch die oben besprochene Gestaltung weist die IC-Struktur 100 fortlaufende Wannen WA und WB auf, die z.B. in einer ineinandergreifenden, gewundenen oder anderen Konfiguration gestaltet sind, damit jede der fortlaufenden Wannen WA und WB von mehr als zwei Reihen, z.B. den Reihen R1 bis R5, gemeinsam verwendet wird.
  • Jedes Exemplar der Abnehmerstrukturen PA und PB, die bei einigen Ausführungsformen auch gemeinsam als Abgriffsstruktur bezeichnet werden, weist einen stark dotierten Bereichs innerhalb eines entsprechenden Abschnitts WAPx (eines der Abschnitte WAPn) der fortlaufenden Wanne WA oder WBPx (eines der Abschnitte WBPn) der fortlaufenden Wanne WB auf und verfügt über eine n- oder p-Dotierung, die dem n-Wannen- oder p-Wannen-Typ der entsprechenden fortlaufenden Wanne WA oder WB entspricht.
  • Jedes Exemplar der Abnehmerstruktur PA oder PB weist auch ein oder mehrere leitfähige Elemente (nicht gezeigt) auf, die dazu eingerichtet sind, die Abnehmerstruktur elektrisch mit einer entsprechenden Stromverteilungsstruktur (nicht gezeigt) zu verbinden, oder steht mit einem oder mehreren davon in Kontakt. Die IC-Struktur 100 ist so eingerichtet, dass die fortlaufende n-Wanne WA oder WB und das entsprechende Exemplar der Abnehmerstruktur PA oder PB elektrisch mit einer Stromverteilungsstruktur verbunden sind, die so eingerichtet ist, dass sie eine Stromversorgungsspannung aufweist, und die fortlaufende p-Wanne WA oder WB und das entsprechende Exemplar der Abnehmerstruktur PA oder PB elektrisch mit einer Stromverteilungsstruktur verbunden sind, die so eingerichtet ist, dass sie eine Bezugsspannung, z.B. die Masse, aufweist.
  • Jede der Abnehmerstrukturen PA und PB ist dadurch dazu eingerichtet, während des Betriebs Latch-Up Ereignisse zu vermeiden, indem z.B. durch Verwenden der Stromversorgungsspannung, um eine der fortlaufenden Wannen WA oder WB, die eine n-Wanne ist, vorzuspannen, ein Vorwärtsvorspannen von Dioden, die die entsprechende Wanne WA oder WB aufweisen, und Source/Drain-Klemmen von Transistoren, die sich in der entsprechenden fortlaufenden Wanne WA oder WB befinden, verhindert wird, wodurch Latch-Up-Ereignisse vermieden werden, indem ein Vorwärtsvorspannen einer Diode, die die eine der fortlaufenden Wannen WA oder WB aufweist, und einer p-Source/Drain-Klemme eines PMOS-Transistors von IC-Vorrichtungen 100 C, die sich in einer der fortlaufenden Wannen WA oder WB befinden, verhindert wird.
  • Bei der Ausführungsform, die in 1A gezeigt ist, befinden sich Exemplare der Abnehmerstrukturen PA in den Abschnitten WAP2 und WAP3, befinden sich Exemplare der Abnehmerstrukturen PB in den Abschnitten WBP1 und WBP2, befindet sich ein erstes Exemplar einer jeden der Abnehmerstrukturen PA und PB in der Reihe R2, befindet sich ein zweites Exemplar einer jeden der Abnehmerstrukturen PA und PB in der Reihe R4 und sind die Exemplare der Abnehmerstrukturen PA und PB in der Y-Richtung ausgerichtet und befinden sich zwischen IC-Vorrichtungen 100C.
  • Bei verschiedenen Ausführungsformen sind Exemplare der Abnehmerstrukturen PA und/oder PB anderweitig angeordnet. Bei einigen Ausführungsformen sind Exemplare der Abnehmerstrukturen PA und/oder PB nicht in der Y-Richtung ausgerichtet. Bei einigen Ausführungsformen befinden sich ein oder mehrere Exemplare der Abnehmerstrukturen PA und/oder PB in dem Grenzbereich 100A und/oder dem Grenzbereich 100B und liegen daher neben einem entsprechenden der Abschnitte WAS oder WBS oder beiden.
  • Bei der Ausführungsform die in 1A dargestellt ist, weist die IC-Struktur 100 insgesamt jeweils zwei Exemplare der Abnehmerstrukturen PA und PB, jeweils drei Abschnitte WAPn und WBPn und fünf Reihen R1 bis R5 auf, so dass sie Verhältnissen von drei Abschnitten WAPn zu zwei Abnehmerstrukturen PA, drei Abschnitten WBPn zu zwei Abnehmerstrukturen PB und fünf Reihen R1 bis R5 zu jeweils zwei Exemplaren der Abnehmerstrukturen PA und PB entspricht.
  • Bei einigen Ausführungsformen weist die IC-Struktur 100 andere Anzahlen eines oder mehrerer aus den Exemplaren der Abnehmerstrukturen PA und/oder PB, den Abschnitten WAPn und/oder WBPn und oder den Reihen R1 bis R5 als die in 1A dargestellten auf, wodurch eines oder mehrere der entsprechenden Verhältnisse andere Werte als jene aufweisen, die der in 1A dargestellten Ausführungsform entsprechen.
  • Bei einigen Ausführungsformen weist eines aus dem Verhältnis der Abschnitte WAPn zu den Exemplaren der Abnehmerstrukturen PA oder dem Verhältnis der Abschnitte WBPn zu den Exemplaren der Abnehmerstrukturen PA oder weisen beide dieser Verhältnisse einen Wert auf, der von zwei bis zwanzig reicht. Bei einigen Ausführungsformen weist eines aus dem Verhältnis der Abschnitte WAPn zu den Exemplaren der Abnehmerstrukturen PA oder dem Verhältnis der Abschnitte WBPn zu den Exemplaren der Abnehmerstrukturen PB oder weisen beide dieser Verhältnisse einen Wert auf, der von fünf bis fünfzehn reicht. Bei einigen Ausführungsformen weist eines aus dem Verhältnis der Abschnitte WAPn zu den Exemplaren der Abnehmerstrukturen PA oder dem Verhältnis der Abschnitte WBPn zu den Exemplaren der Abnehmerstrukturen PB oder weisen beide dieser Verhältnisse einen Wert gleich zehn (10) auf.
  • Bei einigen Ausführungsformen weist das Verhältnis der Reihen, z.B. der Reihen R1 bis R5, von IC-Vorrichtungen 100C zu den Exemplaren der Abnehmerstrukturen PA und/oder PB einen Wert auf, der von drei bis vierzig reicht. Bei einigen Ausführungsformen weist des Verhältnis der Reihen von IC-Vorrichtungen 100C zu den Exemplaren der Abnehmerstrukturen PA und/oder PB einen Wert auf, der von zehn bis dreißig reicht. Bei einigen Ausführungsformen weist das Verhältnis der Reihen von IC-Vorrichtungen 100C zu den Exemplaren von Abnehmerstrukturen PA und/oder PB einen Wert gleich zwanzig (20) auf.
  • Mit der Zunahme der Verhältnisse der Abschnitte WAPn und/oder WBPn und/oder der Reihen von IC-Vorrichtungen 100C zu den Exemplaren der Abnehmerstrukturen PA und/oder PB nimmt eine Gesamtanzahl der Exemplare der Abnehmerstrukturen PA und PG für eine gegebene Größe der IC-Struktur 100 ab, so dass die von den Abnehmerstrukturen PA und PB eingenommene Fläche in Bezug auf die Gesamtfläche der IC-Struktur 100 abnimmt und die Fläche, die von IC-Vorrichtungen 100C eingenommen werden kann, in Bezug auf die Gesamtfläche der IC-Struktur 100 zunimmt.
  • Die Fläche, über die eine gegebene Abnehmerstruktur PA oder PB wie oben besprochen Latch-Up-Ereignisse vermeiden kann, wird durch mehrere Faktoren, z.B. die Kriterien der Elementgeometrie, der Dotierungsgrade und/oder der Schaltungsanwendung, begrenzt. Entsprechend weisen die oben besprochenen Verhältnisse auf relevanten Designkriterien beruhende obere Grenzwerte auf.
  • Durch die oben besprochene Gestaltung weist die IC-Struktur 100 durch das Aufnehmen des Abschnitts WAS oder WBS, der in der Y-Richtung verläuft, und der Abschnitte WAPn oder WBPn, die sich in die Reihen der IC-Vorrichtungen 100C erstrecken, jeweils fortlaufende Wannen WA und WB auf, die von mehr als zwei Reihen von IC-Vorrichtungen 100C gemeinsam verwendet werden. Dadurch ist die IC-Struktur 100 durch derartiges Aufnehmen einer oder mehrerer der Abnehmerstrukturen PA oder PB, die Abschnitte WAPn oder WBPn vorspannen können, dass die Anzahl der Abnehmerstrukturen PA oder PB geringer als die Anzahl der Abschnitte WAPn oder WBPn ist, in der Lage, einen Latch-Up-Schutz erzielen. Im Vergleich zu Ansätzen, bei denen jede Wanne, die einer oder zwei Reihen von IC-Vorrichtungen entspricht, wenigstens eine Abnehmerstruktur aufweist, verwendet die IC-Struktur 100, die eine der fortlaufenden Wannen WA oder WB oder beide aufweist, weniger Abnehmerstrukturen, weshalb sie zu einer verbesserten Raumausnutzung für die IC-Vorrichtungen fähig ist.
  • 1B ist ein Diagramm einer IC-Struktur 100D nach einigen Ausführungsformen. Zusätzlich zu einer Draufsicht auf die IC-Struktur 100D zeigt 1B die oben unter Bezugnahme auf 1A besprochenen Richtungen X und Y. Die IC-Struktur 100D, die bei einigen Ausführungsformen auch als IC-Die 100D bezeichnet wird, ist ein IC-Die, ein IC-Die-Abschnitt oder ein anderer Abschnitt eines Halbleiterwafers oder ein gesamter Halbleiterwafer, der ein oder mehrere Exemplare der oben unter Bezugnahme auf 1A besprochenen IC-Struktur 100 und zwei oder mehr Exemplare der TSV-Struktur TSVS aufweist.
  • Die IC-Struktur 100D ist ein nicht beschränkendes Beispiel für einen IC-Die oder einen IC-Die-Abschnitt, der durch Ausführen des gesamten nachstehend unter Bezugnahme auf 3 besprochenen Verfahrens 300 oder eines Teils davon auf Basis eines oder mehrerer IC-Layoutdiagramme, z.B. eines oder mehrerer der IC-Layoutdiagramme 600A bis 600C, die nachstehend unter Bezugnahme auf 6 besprochen werden, hergestellt wird.
  • Eine TSV-Struktur, z.B. die TSV-Struktur TSVS, ist ein Element eines IC-Dies, das eine oder mehrere TSVs aufweist, die von einer oder mehreren Isolationsstrukturen, z.B. einer TSV-Isolationsstruktur TSV1, umgeben sind, welche dazu eingerichtet sind, die eine oder die mehreren TSVs elektrisch von benachbarten IC-Die-Elementen zu isolieren.
  • Eine TSV ist ein leitfähiges Segment, das sich von einer Vorderseite eines Halbleitersubstrats, z.B. einem IC-Die, der die IC-Struktur 100D aufweist, zu einer Rückseite des Substrats erstreckt, und ist dadurch dazu eingerichtet, eine oder mehrere Strukturen, die sich an der Vorderseite des Substrats befinden, elektrisch mit einer oder mehreren Strukturen, die sich an der Rückseite des Substrats befinden, zu verbinden. Eine TSV enthält ein oder mehrere leitfähige Materialien, z.B. eines oder mehrere aus Polysilizium, Kupfer (Cu), Aluminium (Al), Wolfram (W), Kobalt (Co), Ruthenium (Ru), oder ein oder mehrere andere Materialien, die zur Bereitstellung einer elektrischen Verbindung mit einem geringen Widerstand zwischen der Vorder- und der Rückseite eines Substrats geeignet sind.
  • Bei der Ausführungsform, die in 1B gezeigt ist, weist die IC-Struktur 100D drei Exemplare von TSV-Strukturen TSVS auf, die in der X-Richtung ausgerichtet sind. Ein erstes Exemplar einer IC-Struktur 100 befindet sich zwischen dem ersten und dem zweiten Exemplar der TSV-Strukturen TSVS, und ein zweites und ein drittes Exemplar der IC-Struktur 100 befinden sich zwischen dem zweiten und dem dritten Exemplar der TSV-Strukturen TSVS. Die IC-Struktur 100D weist somit Exemplare der IC-Struktur 100 und der TSV-Struktur TSVS auf, die in der X-Richtung ausgerichtet sind.
  • Die Ausrichtung und die Anzahlen der Exemplare der IC-Struktur 100 und der TSV-Struktur TSVS, die in 1B dargestellt sind, sind nicht beschränkende Beispiele, die zum Zweck der Erläuterung bereitgestellt sind. Bei verschiedenen Ausführungsformen weist die IC-Struktur 100D andere Anzahlen von Exemplaren der IC-Struktur 100 und/oder der TSV-Struktur TSVS als die in 1B dargestellten auf und/oder weist sie eine andere Ausrichtung als die in 1B dargestellte, z.B. in der Y-Richtung, auf.
  • Bei der Ausführungsform, die in 1B dargestellt ist, weist die IC-Struktur 100D jeweils Exemplare einer TSV-Struktur TSVS mit einer einzelnen TSV, die sich in einer TSV-Isolationsstruktur TSVI befindet, auf. Bei einigen Ausführungsformen weist die IC-Struktur 100D ein oder mehrere Exemplare einer TSV-Struktur TSVS, die mehr als eine in einer TSV-Isolationsstruktur TSVI befindliche TSV aufweist, oder keine in einer TSV-Isolationsstruktur TSVI befindliche TSV aufweist, z.B. ein Dummy-Bereich ist, in dem der TSV-Isolationsbereich TSVI einer gesamten TSV-Struktur TSVS entspricht, auf. Bei einigen Ausführungsformen weist die IC-Struktur 100D an einer oder mehreren Stellen, für die in 1B dargestellt ist, dass sie ein Exemplar einer TSV-Struktur TSVS aufweisen, eine oder mehrere andere Strukturen als ein Exemplar einer TSV-Struktur TSVS, z.B. ein oder mehrere Exemplare einer IC-Struktur 100, einer IC-Schaltung oder eines Vorrichtungsblocks oder eines anderen IC-Die-Elements, auf.
  • Bei verschiedenen Ausführungsformen weist die IC-Struktur 100D Exemplare der IC-Struktur 100 auf, die eine gleiche Ausführungsform der IC-Struktur 100 oder mehr als eine Ausführungsform der IC-Struktur 100, z.B. Ausführungsformen mit unterschiedlichen Ausrichtungen, Anzahlen von Abnehmerstrukturen und/oder IC-Vorrichtungen 100C, darstellen.
  • Die in 1B dargestellten Anzahlen von Exemplaren der IC-Struktur 100, die sich zwischen angrenzenden Exemplaren der TSV-Struktur TSVS befinden, sind nicht beschränkende Beispiele. Bei verschiedenen Ausführungsformen weist die IC-Struktur 100D eine oder mehrere Anzahlen von Exemplaren der IC-Struktur 100, die sich zwischen angrenzenden Exemplaren der TSV-Struktur TSVS befinden, von mehr als zwei auf.
  • Bei einigen Ausführungsformen weist die IC-Struktur 100D durch die oben besprochene Gestaltung ein oder mehrere Exemplare der IC-Struktur 100, bei der sich einer der Grenzbereiche 100A oder 100B oder beide und einer der fortlaufenden Wannenbereiche WAS oder WBS, die jeweils oben unter Bezugnahme auf 1A besprochen wurden, oder beide neben einem Exemplar einer TSV-Isolationsstruktur TSVI befinden, auf. Bei einigen Ausführungsformen wird ein Grenzbereich 100A oder 100B oder ein fortlaufender Wannenbereich WAS oder WBS neben einem Exemplar einer TSV-Isolationsstruktur TSVI als neben dem entsprechenden Exemplar der TSV-Struktur TSVS und der TSV in dem angrenzenden Exemplar der TSV-Isolationsstruktur TSVI befindlich angesehen.
  • Durch die oben besprochene Gestaltung weist die IC-Struktur 100D ein oder mehrere Exemplare der IC-Struktur 100 auf und ist dadurch in der Lage, die oben unter Bezugnahme auf die IC-Struktur 100 besprochenen Vorteile umzusetzen.
  • 1C zeigt ein IC-Package 100P nach einigen Ausführungsformen. Zusätzlich zu dem IC-Package 100P zeigt 1C die oben unter Bezugnahme auf 1A besprochene X-Richtung und eine zu jeder aus der X- und der Y-Richtung orthogonale Z-Richtung. 1C entspricht damit einer Schnittansicht einer jeden der in 1A und 1B dargestellten Draufsichten.
  • Das IC-Package 100P ist ein nicht beschränkendes Beispiel für ein IC-Package, das ein oder mehrere Exemplare einer IC-Struktur 100D aufweist und durch Ausführen des gesamten nachstehend unter Bezugnahme auf 3 besprochenen Verfahrens 300 oder eines Teils davon hergestellt wird.
  • Zusätzlich zu der IC-Struktur 100D weist das IC-Package 100P IC-Dies D1 und D2 auf, die durch Höckerstrukturen B so elektrisch und mechanisch mit der IC-Struktur 100D verbunden sind, dass die IC-Struktur 100D und die IC-Dies D1 und D2 in der Z-Richtung ausgerichtet sind.
  • Die Anordnung und die Anzahlen der IC-Dies D1 und D2 und der Exemplare der IC-Struktur 100D, die in 1C dargestellt sind, sind nicht beschränkende Beispiele, die zum Zweck der Erläuterung bereitgestellt sind. Bei verschiedenen Ausführungsformen weist das IC-Package 100P andere Anzahlen von IC-Dies und/oder Exemplaren der IC-Struktur 100D als die in 1C dargestellten auf und/oder weist es eine andere Anordnung als die in 1C dargestellte, z.B. mehr als ein Exemplar der IC-Struktur 100D und/oder zusätzlich zu dem IC-Die D1 oder D2 wenigstens einen IC-Die (nicht gezeigt), der an einer entsprechenden Ober- oder Unterseite der IC-Struktur 100D elektrisch und mechanisch mit der IC-Struktur 100D verbunden ist, auf.
  • Bei verschiedenen Ausführungsformen ist das IC-Package 100P ein 2,5D-IC-Package, ein 3D-IC-Package, ein integriertes Fan-Out-Package (InFO-Package) oder eine andere IC-Package-Art, die zur Aufnahme einer IC-Struktur 100D, die Exemplare einer TSV-Struktur TSVSaufweist, geeignet ist.
  • Jeder der IC-Dies D1 und D2 ist ein IC-Die, ein IC-Die-Abschnitt oder ein anderer Abschnitt eines Halbleiterwafers oder ein gesamter Halbleiterwafer. Bei einigen Ausführungsformen handelt es sich bei einem der IC-Dies D1 oder D2 oder beiden um ein Substrat mit einer Fan-Out-Anordnung, z.B. einen Interposer.
  • Eine Höckerstruktur, z.B. eine Höckerstruktur B, ist eine leitfähige Struktur, die über Abschnitten der IC-Struktur 100D und/oder den Dies D1 und/oder D2 liegt und damit in Kontakt steht und dadurch zur Bereitstellung elektrischer Verbindungen zwischen der IC-Struktur 100D und den Dies D1 und/oder D2 eingerichtet ist. Bei einigen Ausführungsformen enthalten die Höckerstrukturen Blei. Bei einigen Ausführungsformen enthalten die Höckerstrukturen bleifreie Materialien wie etwa Zinn, Nickel, Gold, Silber, Kupfer oder andere Materialien, die zur Bereitstellung von elektrischen Verbindungen mit externen leitfähigen Elementen geeignet sind. Bei einigen Ausführungsformen weisen die Höckerstrukturen im Wesentlichen kugelige Formen auf. Bei einigen Ausführungsformen sind die Höckerstrukturen Chipverbindungshöcker mit gesteuertem Einsinken (controlled collapse chip connection bumps, C4-Höcker), Kugelgitteranordnungshöcker, Mikrohöcker oder dergleichen.
  • Bei einigen Ausführungsformen weist das IC-Package 100P keine Höckerstrukturen B zwischen der IC-Struktur 100D und einem der IC-Dies D1 oder D2 oder beiden auf und ist die IC-Struktur 1D direkt an den entsprechenden einen der IC-Dies D1 oder D2 oder beide gebondet und dadurch elektrisch damit verbunden.
  • Bei einigen Ausführungsformen sind einige oder alle Exemplare der TSV-Struktur TSVS auf einem oder mehreren Exemplaren der IC-Struktur 100D und einer oder mehreren Höckerstrukturen B, falls vorhanden, Teil einer Stromverteilungsstruktur des IC-Packages 100P.
  • Durch die oben besprochene Gestaltung weist das IC-Package 100P wenigstens ein Exemplar der IC-Struktur 100D auf, worin sich ein oder mehrere Exemplare der IC-Struktur 100 neben einem oder mehreren Exemplaren der TSV-Struktur TSVS befinden, wodurch das IC-Package 100P fähig ist, die oben unter Bezugnahme auf die IC-Struktur 100 besprochenen Vorteile umzusetzen.
  • 2 ist ein Ablaufdiagramm eines Verfahrens 200 zum Betreiben einer IC-Vorrichtung nach einigen Ausführungsformen. Das Verfahren 200 ist mit einer IC-Struktur, die gemeinsam verwendete Wannen aufweist, z.B. der oben unter Bezugnahme auf 1A bis 1C besprochenen IC-Struktur 100, verwendbar.
  • Die Reihenfolge, in der die Tätigkeiten des Verfahrens 200 in 2 dargestellt, dient nur zur Erläuterung; die Tätigkeiten des Verfahrens 200 können in Reihenfolgen ausgeführt werden, die sich von der in 2 dargestellten unterscheiden. Bei einigen Ausführungsformen werden vor, zwischen, während und/oder nach den Tätigkeiten, die in 2 dargestellt sind, zusätzliche Tätigkeiten zu den in 2 dargestellten ausgeführt.
  • Bei einigen Ausführungsformen sind einige oder alle Tätigkeiten des Verfahrens 200 ein Untersatz eines Verfahrens zum Betreiben einer Schaltung, die gemeinsam verwendete Wannen aufweist, z.B. der IC-Vorrichtungen 100C, die oben unter Bezugnahme auf 1A besprochen wurden, eines Verfahrens zum Betreiben eines IC-Dies, z.B. der IC-Struktur 100D, die oben unter Bezugnahme auf 1B besprochen wurde, und/oder eines Verfahrens zum Betreiben eines IC-Packages, z.B. des IC-Packages 100P, das oben unter Bezugnahme auf 1C besprochen wurde.
  • Bei der Tätigkeit 210 wird an einer ersten Abnehmerstruktur eine Stromversorgungsspannung erhalten und an einer zweiten Abnehmerstruktur eine Bezugsspannung erhalten. Das Erhalten der Stromversorgungsspannung umfasst das Erhalten der Stromversorgungsspannung von einer ersten Stromverteilungsstruktur und das Erhalten der Bezugsspannung umfasst das Erhalten der Bezugsspannung von einer zweiten Stromverteilungsstruktur, die von der ersten Stromverteilungsstruktur gesondert ist.
  • Bei einigen Ausführungsformen umfasst das Erhalten der Stromversorgungsspannung an der ersten Abnehmerstruktur das Erhalten der Stromversorgungsspannung an einem Exemplar einer der Abnehmerstrukturen PA und PB und umfasst das Erhalten der Bezugsspannung an der zweiten Abnehmerstruktur das Erhalten der Bezugsspannung an einem Exemplar der anderen der Abnehmerstrukturen PA und PB, wie oben unter Bezugnahme auf 1A besprochen wurde.
  • Bei einigen Ausführungsformen ist die erste Abnehmerstruktur eine erste Abnehmerstruktur von mehreren ersten Abnehmerstrukturen und umfasst das Erhalten der Stromversorgungsspannung an der ersten Abnehmerstruktur das Erhalten der Stromversorgungsspannung an jeder ersten Abnehmerstruktur der mehreren ersten Abnehmerstrukturen. Bei einigen Ausführungsformen ist die zweite Abnehmerstruktur eine zweite Abnehmerstruktur von mehreren zweiten Abnehmerstrukturen und umfasst das Erhalten der Bezugsspannung an der zweiten Abnehmerstruktur das Erhalten der Bezugsspannung an jeder zweiten Abnehmerstruktur der mehreren zweiten Abnehmerstrukturen.
  • Bei der Tätigkeit 220 wird die erste Abnehmerstruktur verwendet, um eine n-Wanne, die von mehr als zwei Reihen von IC-Vorrichtungen gemeinsam verwendet wird, vorzuspannen, und wird die zweite Abnehmerstruktur verwendet, um eine p-Wanne, die von mehr als zwei Reihen der IC-Vorrichtungen gemeinsam verwendet wird, vorzuspannen. Das Verwenden der ersten Abnehmerstruktur, um die gemeinsam verwendete n-Wanne vorzuspannen, umfasst das Vorspannen der gemeinsam verwendeten n-Wanne mit der Stromversorgungsspannung, und das Verwenden der zweiten Abnehmerstruktur, um die gemeinsam verwendete p-Wanne vorzuspannen, umfasst das Vorspannen der gemeinsam verwendeten p-Wanne mit der Bezugsspannung. Das Vorspannen der gemeinsam verwendeten n-Wanne mit der Stromversorgungsspannung umfasst das Verhindern eines Vorwärtsvorspannens einer Diode, die die n-Wanne aufweist, und einer S/D-Klemme eines PMOS-Transistors der IC-Vorrichtungen, und das Vorspannen der gemeinsam verwendeten p-Wanne mit der Bezugsspannung umfasst das Verhindern eines Vorwärtsvorspannens einer Diode, die die p-Wanne aufweist, und einer S/D-Klemme eines NMOS-Transistors der IC-Vorrichtungen.
  • Bei einigen Ausführungsformen umfasst das Verwenden der ersten Abnehmerstruktur, um die gemeinsam verwendete n-Wanne vorzuspannen, das Verwenden eines Exemplars einer der Abnehmerstrukturen PA oder PB, um eine entsprechende eine der fortlaufenden Wannen WA oder WB vorzuspannen, und umfasst das Verwenden der zweiten Abnehmerstruktur, um die gemeinsam verwendete p-Wanne vorzuspannen, das Verwenden eines Exemplars der anderen der Abnehmerstrukturen PA oder PB, um die entsprechende andere der fortlaufenden Wannen WA oder WB vorzuspannen, wie oben unter Bezugnahme auf 1A besprochen wurde.
  • Bei einigen Ausführungsformen umfasst das Verwenden des Exemplars der einen der Abnehmerstrukturen PA oder PB, um die entsprechende eine der fortlaufenden Wannen WA oder WB vorzuspannen, das Verwenden mehrerer Exemplare der einen der Abnehmerstrukturen PA oder PB, um die entsprechende eine der fortlaufenden Wannen WA oder WB vorzuspannen, und umfasst das Verwenden des Exemplars der anderen der Abnehmerstrukturen PA oder PB, um die entsprechende andere der fortlaufenden Wannen WA oder WB vorzuspannen, das Verwenden mehrerer Exemplare der anderen der Abnehmerstrukturen PA oder PB, um die entsprechende andere der fortlaufenden Wannen WA oder WB vorzuspannen, wie oben unter Bezugnahme auf 1A besprochen wurde.
  • Bei einigen Ausführungsformen ist die gemeinsam verwendete n-Wanne eine gemeinsam verwendete n-Wanne von mehreren gemeinsam verwendeten n-Wannen, wobei jede gemeinsam verwendete n-Wanne eine entsprechende erste Abnehmerstruktur von mehreren ersten Abnehmerstrukturen aufweist, und umfasst das Vorspannen der gemeinsam verwendeten n-Wanne das Verwenden jeder ersten Abnehmerstruktur der mehreren ersten Abnehmerstrukturen, um eine entsprechende gemeinsam verwendete n-Wanne der mehreren gemeinsam verwendeten n-Wannen vorzuspannen. Bei einigen Ausführungsformen ist die Stromversorgungsspannung eine Stromversorgungsspannung von mehreren Stromversorgungsspannungen, und umfasst das Verwenden jeder ersten Abnehmerstruktur der mehreren ersten Abnehmerstrukturen, um die entsprechende gemeinsam verwendete n-Wanne der mehreren gemeinsam verwendeten n-Wannen vorzuspannen, das Vorspannen eines jeden von mehreren Untersätzen der mehreren gemeinsam verwendeten n-Wannen mit einer entsprechenden Stromversorgungsspannung der mehreren Stromversorgungsspannungen. Bei einigen Ausführungsformen ist die gemeinsam verwendete p-Wanne eine gemeinsam verwendete p-Wanne von mehreren gemeinsam verwendeten p-Wannen, weist jede gemeinsam verwendete p-Wanne eine entsprechende zweite Abnehmerstruktur von mehreren zweiten Abnehmerstrukturen auf, und umfasst das Vorspannen der gemeinsam verwendeten p-Wanne das Verwenden jeder zweiten Abnehmerstruktur der mehreren zweiten Abnehmerstrukturen, um eine entsprechende gemeinsam verwendete p-Wanne der mehreren gemeinsam verwendeten p-Wannen vorzuspannen.
  • Bei der Tätigkeit 230 werden die Stromversorgungs- und die Bezugsspannung bei einigen Ausführungsformen verwendet, um die IC-Vorrichtungen zu betreiben. Das Betreiben der IC-Vorrichtungen umfasst das Betreiben von PMOS-Transistoren, die sich in der gemeinsam verwendeten n-Wanne, die mehr als zwei Reihen der IC-Vorrichtungen entspricht, befinden, und das Betreiben von NMOS-Transistoren, die sich in der gemeinsam verwendeten p-Wanne, die mehr als zwei Reihen der IC-Vorrichtungen entspricht, befinden.
  • Bei einigen Ausführungsformen umfasst das Betreiben der IC-Vorrichtungen das Betreiben von IC-Vorrichtungen 100C, die oben unter Bezugnahme auf 1A besprochen wurden. Bei einigen Ausführungsformen umfasst das Betreiben der IC-Vorrichtungen das Betreiben der IC-Vorrichtungen, die sich zwischen TSV-Strukturen, z.B. TSV-Strukturen TSVS, die oben unter Bezugnahme auf 1B und 1C besprochen wurden, befinden. Bei einigen Ausführungsformen umfasst das Betreiben der IC-Vorrichtungen das Betreiben eines IC-Dies, z.B. der IC-Vorrichtung 100D, die oben unter Bezugnahme auf 1B und 1C besprochen wurde. Bei einigen Ausführungsformen umfasst das Betreiben der IC-Vorrichtungen das Betreiben eines IC-Packages, z.B. des IC-Packages 100P, das oben unter Bezugnahme auf 1C besprochen wurde.
  • Durch das Ausführen einiger oder aller Tätigkeiten des Verfahrens 200 werden die Stromversorgungs- und die Bezugsspannung verwendet, um jeweils eine n-Wanne, die von mehr als zwei Reihen von IC-Vorrichtungen gemeinsam verwendet wird, und eine p-Wanne, die von mehr als zwei Reihen der IC-Vorrichtungen gemeinsam verwendet wird, vorzuspannen, wodurch die Vorteile, die oben unter Bezugnahme auf die IC-Struktur 100 besprochen wurden, erhalten werden.
  • 3 ist ein Ablaufdiagramm eines Verfahrens 300 zum Herstellen einer IC-Struktur nach einigen Ausführungsformen. Das Verfahren 300 kann ausgeführt werden, um die IC-Struktur 100, die oben unter Bezugnahme auf 1A und 1B besprochen wurde, die IC-Struktur 100D, die oben unter Bezugnahme auf 1B und 1C besprochen wurde, und/oder das IC-Package 100P, das oben unter Bezugnahme auf 1C besprochen wurde, zu bilden.
  • Bei einigen Ausführungsformen werden die Tätigkeiten des Verfahrens 300 in der Reihenfolge, die in 3 dargestellt ist, durchgeführt. Bei einigen Ausführungsformen werden die Tätigkeiten des Verfahrens 300 in einer anderen Reihenfolge als der in 3 dargestellten Reihenfolge durchgeführt. Bei einigen Ausführungsformen werden vor, während und/oder nach den Tätigkeiten des Verfahrens 300 eine oder mehrere zusätzliche Tätigkeiten durchgeführt. Bei einigen Ausführungsformen umfasst das Durchführen einiger oder aller Tätigkeiten des Verfahrens 300 das Durchführen einer oder mehrerer wie nachstehend unter Bezugnahme auf das IC-Herstellungssystem 900 und 9 besprochener Tätigkeiten.
  • Bei der Tätigkeit 310 wird jede aus einer ersten Wanne und einer zweiten Wanne so eingerichtet, dass sie einen ersten Abschnitt, der in einer ersten Richtung verläuft, und einen zweiten und einen dritten Abschnitt, die sich in einer zu der ersten Richtung orthogonalen zweiten Richtung von dem ersten Abschnitt erstrecken, aufweist. Das Einrichten der ersten und der zweiten Wanne umfasst das Einrichten einer der ersten oder der zweiten Wanne als n-Wanne in einem ersten IC-Die und der anderen der ersten oder der zweiten Wanne als p-Wanne in dem ersten IC-Die.
  • Bei einigen Ausführungsformen umfasst das derartige Einrichten der ersten Wanne, dass sie den ersten Abschnitt, der in der ersten Richtung verläuft, und den zweiten und den dritten Abschnitt, die sich von dem ersten Abschnitt in der zweiten Richtung erstrecken, aufweist, das derartige Einrichten der fortlaufenden Wanne WA, dass sie den Abschnitt WAS, der in der Y-Richtung verläuft, und zwei der Abschnitte WAPn, die sich in der X-Richtung erstrecken, aufweist, und umfasst das derartige Einrichten der zweiten Wanne, dass sie den ersten Abschnitt, der in der ersten Richtung verläuft, und den zweiten und den dritten Abschnitte, die sich von dem ersten Abschnitt in der zweiten Richtung erstrecken, aufweist, das derartige Einrichten der fortlaufenden Wanne WB, dass sie den Abschnitt WBS, der in der Y-Richtung verläuft, und zwei der Abschnitte WBPn, die sich in der X-Richtung erstrecken, aufweist, wie oben unter Bezugnahme auf 1A besprochen wurde.
  • Bei einigen Ausführungsformen ist die erste Wanne eine erste Wanne von mehreren ersten Wannen, ist die zweite Wanne eine zweite Wanne von mehreren zweiten Wannen, und umfasst das Einrichten einer jeden der ersten und der zweiten Wanne das derartige Einrichten jeder ersten Wanne der mehreren ersten Wannen und jeder zweiten Wanne der mehreren zweiten Wannen, dass sie einen ersten Abschnitt, der in einer ersten Richtung verläuft, und einen zweiten und einen dritten Abschnitt, die sich in einer zu der ersten Richtung orthogonalen Richtung von dem ersten Abschnitt erstrecken, aufweist.
  • Bei einigen Ausführungsformen umfasst das Einrichten einer Wanne, z.B. der ersten und/oder der zweiten Wanne, das Durchführen eines oder mehrerer Implantationsprozesse in einem Bereich eines Halbleitersubstrats, der der Wanne entspricht, wobei für einen oder mehrere wie oben unter Bezugnahme auf 1A besprochene gegebene Dotierstoffe eine vorherbestimmte Dotierungskonzentration erzielt wird. Bei einigen Ausführungsformen umfasst das Einrichten einer Wanne das Einrichten einer der ersten oder der zweiten Wanne durch Durchführen des einen oder der mehreren Implantationsprozesse, wobei ein Bereich des Substrats außerhalb jenes der einen aus der ersten oder der zweiten Wanne so angesehen wird, dass er als die andere der ersten oder der zweiten Wanne eingerichtet ist, z.B. das Einrichten der ersten Wanne als n-Wanne durch Durchführen einer P- und/oder einer As-Implantation, wodurch ein Bereich außerhalb der ersten Wanne auf Basis des Umstands, dass er einen Teil eines p-Substrats darstellt, als p-Wanne eingerichtet wird.
  • Bei einigen Ausführungsformen umfasst das Einrichten einer jeden aus der ersten und der zweiten Wanne das Anordnen einer der ersten oder der zweiten Wanne oder beider neben einer TSV-Struktur, z.B. das Anordnen einer der fortlaufenden Wannen WA und WB oder beider der IC-Struktur 100 neben einem oder mehreren Exemplaren der TSV-Struktur TSVS, wie oben unter Bezugnahme auf 1B besprochen wurde.
  • Bei einigen Ausführungsformen umfasst das Einrichten einer jeden aus der ersten und der zweiten Wanne das Einrichten jeder der ersten und der zweiten Wanne auf Basis einer oder mehrerer der Zellen 400A bis 400C, die nachstehend unter Bezugnahme auf 4 besprochen werden, und eines oder mehrerer der IC-Layoutdiagramme 600A bis 600C, die nachstehend unter Bezugnahme auf 6A bis 6C besprochen werden.
  • Bei der Tätigkeit 320 werden IC-Vorrichtungen gebildet, die eine erste Abnehmerstruktur, die elektrisch mit der ersten Wanne verbunden ist, und eine zweite Abnehmerstruktur, die elektrisch mit der zweiten Wanne verbunden ist, aufweisen. Das Bilden der IC-Vorrichtungen umfasst das Bilden wenigstens eines PMOS-Transistors in wenigstens einem des zweiten oder des dritten Abschnitts der ersten oder der zweiten Wanne, die als die n-Wanne eingerichtet ist, und das Bilden wenigstens eines NMOS-Transistors in wenigstens einem des zweiten oder des dritten Abschnitts der ersten oder der zweiten Wanne, die als die p-Wanne eingerichtet ist.
  • Das Bilden der Abnehmerstrukturen, die elektrisch mit der ersten und der zweiten Wanne verbunden sind, umfasst das Durchführen eines oder mehrerer Implantationsprozesse, wobei die erste Abnehmerstruktur eine gleiche Dotierungsart wie jene der ersten Wanne und eine höhere Dotierungskonzentration als jene der ersten Wanne aufweist, und die zweite Abnehmerstruktur eine gleiche Dotierungsart wie jene der zweiten Wanne und eine höhere Dotierungskonzentration als jene der zweiten Wanne aufweist.
  • Das Bilden der Abnehmerstrukturen, die elektrisch mit der ersten und der zweiten Wanne verbunden sind, umfasst das Bilden einer elektrischen Verbindung von der ersten Abnehmerstruktur zu einer ersten Stromverteilungsstruktur, die so eingerichtet ist, dass sie eine aus einer Stromversorgungsspannung oder einer Bezugsspannung aufweist, und das Bilden einer elektrischen Verbindung von der zweiten Abnehmerstruktur zu einer zweiten Stromverteilungsstruktur, die so eingerichtet ist, dass sie die andere aus der Stromversorgungsspannung oder der Bezugsspannung aufweist.
  • Bei einigen Ausführungsformen umfasst das Bilden der IC-Vorrichtungen, die die erste und die zweite Abnehmerstruktur aufweisen, das Bilden von IC-Vorrichtungen und eines oder mehrerer Exemplare einer jeden der Abnehmerstrukturen PA und PB, die oben unter Bezugnahme auf 1A besprochen wurden.
  • Bei einigen Ausführungsformen handelt es sich bei den IC-Vorrichtungen um ein Exemplar von IC-Vorrichtungen von mehreren Exemplaren von IC-Vorrichtungen, ist die erste Wanne eine erste Wanne von mehreren ersten Wannen, ist die zweite Wanne eine zweite Wanne von mehreren zweiten Wannen, und umfasst das Bilden der IC-Vorrichtungen, die die erste und die zweite Abnehmerstruktur aufweisen, ein einer ersten Wanne der mehreren ersten Wannen und einer zweiten Wanne der mehreren zweiten Wannen entsprechendes Bilden jedes Exemplars der IC-Vorrichtung der mehreren Exemplare von IC-Vorrichtungen, die die erste und die zweite Abnehmerstruktur aufweisen.
  • Das Bilden der IC-Vorrichtungen, die die erste und die zweite Abnehmerstruktur aufweisen, umfasst das Aufbauen mehrerer IC-Vorrichtungen, z.B. Transistoren, Logikgatter, Speicherzellen, Interconnect-Strukturen und/oder anderer geeigneter Vorrichtungen, durch Durchführen mehrerer Herstellungstätigkeiten, z.B. eines oder mehrerer aus einer Lithographie, einer Diffusion, eines Abscheidens, eines Ätzens, eines Planarisierens oder einer anderen Tätigkeit, die zum Aufbauen der mehreren IC-Vorrichtung in dem Halbleiterwafer geeignet ist.
  • Bei einigen Ausführungsformen umfasst das Bilden der IC-Vorrichtungen, die die erste und die zweite Abnehmerstruktur aufweisen, das Bilden der IC-Vorrichtungen, die die erste und die zweite Abnehmerstruktur aufweisen, auf Basis einer oder mehrerer der Zellen 400A bis 400C, die nachstehend unter Bezugnahme auf 4 besprochen werden, und eines oder mehrerer der IC-Layoutdiagramme, 600A bis 600C, die nachstehend unter Bezugnahme auf 6A bis 6C besprochen werden.
  • Bei der Tätigkeit 330 wird bei einigen Ausführungsformen in dem ersten IC-Die eine TSV-Struktur neben der ersten Wanne oder der zweiten Wanne errichtet. Das Errichten der TSV-Struktur umfasst das Errichten einer TSV, die sich zwischen der Vorder- und der Rückseite des ersten IC-Dies erstreckt und von einer TSV-Isolationsstruktur umgeben ist.
  • Bei einigen Ausführungsformen umfasst das Errichten der TSV-Struktur das Errichten einer TSV-Struktur neben jeder aus der ersten und der zweiten Wanne. Bei einigen Ausführungsformen umfasst das Errichten der TSV-Struktur das Errichten wenigstens eines Exemplars der TSV-Struktur TSVS, die oben unter Bezugnahme auf 1B und 1C besprochen wurde.
  • Bei einigen Ausführungsformen ist die erste Wanne eine erste Wanne von mehreren ersten Wannen, ist die zweite Wanne eine zweite Wanne von mehreren zweiten Wannen, ist die TSV-Struktur eine TSV-Struktur von mehreren TSV-Strukturen, und umfasst das Errichten der TSV-Struktur das Errichten jeder TSV-Struktur der mehreren TSV-Strukturen neben einer entsprechenden ersten Wanne der mehreren ersten Wannen und/oder einer entsprechenden zweiten Wanne der mehreren zweiten Wannen.
  • Das Errichten der TSV-Struktur umfasst das Durchführen mehrerer Herstellungstätigkeiten einschließlich des Abscheidens und Strukturierens einer oder mehrerer Photoresistschichten, des Durchführen eines oder mehrerer Ätzprozesse und des Durchführens einer oder mehrerer Abscheideprozesse, wodurch ein oder mehrere leitfähige Materialien so eingerichtet werden, dass sie eine fortlaufende Struktur mit einem geringen Widerstand bilden, die sich zwischen der Vorder- und der Rückseite des IC-Dies erstreckt und von einer oder mehreren fortlaufenden dielektrischen Schichten umgeben ist, wodurch die fortlaufende Struktur mit dem geringen Widerstand elektrisch von benachbarten Elementen, z.B. der ersten oder der zweiten Wanne, isoliert ist.
  • Bei der Tätigkeit 340 wird bei einigen Ausführungsformen die TSV-Struktur elektrisch mit einem zweiten IC-Die eines IC-Packages verbunden. Bei verschiedenen Ausführungsformen umfasst das elektrische Verbinden der TSV-Struktur mit dem zweiten IC-Die ein direktes Verbinden der TSV-Struktur mit dem zweiten IC-Die oder ein Verbinden der TSV-Struktur über eine Höckerstruktur mit dem zweiten IC-Die. Bei einigen Ausführungsformen umfasst das elektrische Verbinden der TSV-Struktur mit dem zweiten IC-Die des IC-Packages ein elektrisches Verbinden der TSV-Struktur mit dem zweiten IC-Die eines 2,5D-IC-Packages, eines 3D-IC-Packages oder eines InFO-Packages.
  • Bei einigen Ausführungsformen umfasst das elektrische Verbinden der TSV-Struktur mit dem zweiten IC-Die des IC-Packages ein elektrisches Verbinden wenigstens eines Exemplars der TSV-Struktur TSVS mit wenigstens einem der IC-Dies D1 oder D2 des oben unter Bezugnahme auf 1C besprochenen IC-Packages 100P.
  • Das elektrische Verbinden der TSV-Struktur mit dem zweiten IC-Die umfasst das Durchführen eines oder mehrerer IC-Package-Herstellungstätigkeiten, wodurch ein Abschnitt des IC-Dies, oder der gesamte IC-Die, der die TSV-Struktur aufweist, mit dem zweiten IC-Die des IC-Packages verbunden wird. Bei verschiedenen Ausführungsformen umfassen der eine oder die mehreren IC-Package-Herstellungstätigkeiten eines oder mehrere aus einem Dievereinzelungsprozess, einem Formeinspritzen oder Abscheiden, einem Bondprozess, einem Metallabscheidungsprozess, einem Lötprozess, einem Temperprozess oder einem anderen Prozess, der zum Herstellen eines IC-Packages geeignet ist.
  • Bei einigen Ausführungsformen wird die Tätigkeit 340 wiederholt, damit die TSV-Strukturen von mehreren IC-Dies elektrisch mit dem ersten oder zweiten IC-Die und/oder einem oder mehreren IC-Dies zusätzlich zu dem ersten und dem zweiten IC-Die verbunden werden.
  • Durch das Durchführen einiger oder aller Tätigkeiten des Verfahrens 300 wird eine IC-Struktur hergestellt, bei der eine erste und eine zweite Wanne von mehr als zwei Reihen von IC-Vorrichtungen gemeinsam verwendet werden, wodurch die Vorteile, die oben unter Bezugnahme auf die IC-Strukturen 100 und 100D und das IC-Package 100P besprochen wurden, erhalten werden.
  • 4 ist ein Diagramm von IC-Layoutdiagrammen von Zellen 400A bis 400C nach einigen Ausführungsformen. 4 zeigt eine Draufsicht auf jede Zelle 400A bis 400C und die oben unter Bezugnahme auf 1A und 1B besprochenen Richtungen X und Y.
  • Ein IC-Layoutdiagramm, z.B. ein IC-Layoutdiagramm, das eine oder mehrere der Zellen 400A bis 400C aufweist, ist bei einem Herstellungsprozess, z.B. dem Verfahren 300, das oben unter Bezugnahme auf 3 besprochen wurde, und/oder dem IC-Herstellungsablauf, der mit dem nachstehend unter Bezugnahme auf 9 besprochenen IC-Herstellungssystem 900 verbunden ist, als Teil der Definition eines oder mehrerer Elemente einer IC-Struktur, z.B. der IC-Struktur 100, die oben unter Bezugnahme auf 1A bis 3 besprochen wurde, verwendbar.
  • Bei verschiedenen Ausführungsformen ist eine Zelle, z.B. die Zelle 400A bis 400C, eine eigenständige Zelle, die in einer Zellenbibliothek wie etwa einer nachstehend unter Bezugnahme auf 8 besprochenen Zellenbibliothek 807 gespeichert ist, oder ein Teil eines größeren IC-Layoutdiagramms, z.B. eines oder mehrerer der IC-Layoutdiagramme 600A bis 600C, die nachstehend unter Bezugnahme auf 6A bis 6C besprochen werden.
  • Bei einigen Ausführungsformen werden eine oder mehrere der Zellen 400A bis 400C erzeugt, indem eine oder mehrere Tätigkeiten eines Verfahrens, z.B. eines Verfahrens 500, das nachstehend unter Bezugnahme auf 5 besprochen wird, durchgeführt werden.
  • Jede der Zellen 400A bis 400C weist Begrenzungen B1 bis B4, Wannenbereiche WR1 und WR2 und bei einigen Ausführungsformen einen Abnehmerbereich PR1, der in dem Wannenbereich WR1 positioniert ist, und einen Abnehmerbereich PR2, der in dem Wannenbereich WR2 positioniert ist, auf. Die Zelle 400A weist eine Zellenhöhe CH1 auf, und jede der Zellen 400B und 400C weist eine Zellenhöhe CH2 auf.
  • Die Ausrichtungen einer jeden der Zellen 400A bis 400C sind nicht beschränkende Beispiele, die zum Zweck der Erläuterung bereitgestellt sind. Jede der Zellen 400A bis 400C kann in Bezug auf eine oder beide der Richtungen X und Y gedreht und/oder umgekehrt werden.
  • Ein Wannenbereich, z.B. der Wannenbereich WR1 oder WR2, ist ein Bereich in einem IC-Layoutdiagramm, der als Teil der Definition eines Abschnitts oder der Gesamtheit einer oder mehrerer n-Wannen- oder p-Wannen-Strukturen, z.B. einer fortlaufenden Wanne WA oder WB, die oben unter Bezugnahme auf 1A besprochen wurde, in einem Abschnitt eines Halbleitersubstrats, z.B. der oben unter Bezugnahme auf 1A bis 3 besprochenen IC-Struktur 100 oder 100D, in den Herstellungsprozess aufgenommen ist.
  • Ein Abnehmerbereich, z.B. der Abnehmerbereich PR1 oder PR2, ist ein Bereich in einem IC-Layoutdiagramm, der als Teil der Definition einer Abnehmerstruktur, z.B. der Abnehmerstruktur PA oder PB, die oben unter Bezugnahme auf 1A bis 3 besprochen wurde, in den Herstellungsprozess aufgenommen ist.
  • Bei einigen Ausführungsformen werden eine oder mehrere der Zellen 400A bis 400C als Grenzzelle bezeichnet. Bei einigen Ausführungsformen, bei denen eine Zelle 400A bis 400C Abnehmerbereiche PR1 und PR2 aufweist, wird die Zelle 400A bis 400C als Abnehmerzelle, Koppelzelle oder Abgriffszelle bezeichnet.
  • Jeder der Wannenbereiche WR1 und WR2 ist als Teil der Definition eines Abschnitts einer der fortlaufenden Wannen WA oder WB verwendbar, und jeder der Abnehmerbereiche PR1 und PR2 ist als Teil der Definition eines Exemplars der Abnehmerstruktur PA oder PB, die der fortlaufenden Wanne WA oder WB entspricht, verwendbar, wie oben unter Bezugnahme auf 1A bis 3 besprochen wurde und nachstehend näher besprochen wird.
  • Jede der Zellen 400A bis 400C weist eine Grenze auf, die durch die Begrenzungen B1 bis B4 definiert ist. Jede der Begrenzungen B1 und B3 verläuft in der X-Richtung, und jede der Begrenzungen B2 und B4 verläuft in der Y-Richtung. Jede der Zellen 400A bis 400C weist einen Wannenbereich WR1 auf, der sich in der Y-Richtung von der Begrenzung B1 zu der Begrenzung B3 erstreckt und in der X-Richtung von der Begrenzung B4 zu der Begrenzung B2 erstreckt.
  • Die Zelle 400A weist einen Wannenbereich WR1 auf, der sich entlang der gesamten Begrenzung B4 von der Begrenzung B1 zu der Begrenzung B3 erstreckt und sich entlang eines Teils der Begrenzung B2 zwischen der Begrenzung B1 und dem Wannenbereich WR2 erstreckt. Der Wannenbereich WR1 erstreckt sich entlang der gesamten Begrenzung B1 von der Begrenzung B4 zu der Begrenzung B2 und entlang eines Teils der Begrenzung B3 zwischen der Begrenzung B4 und dem Wannenbereich WR2.
  • Die Zelle 400B weist einen Wannenbereich WR1 auf, der sich entlang der gesamten Begrenzung B4 von der Begrenzung B1 zu der Begrenzung B3 erstreckt und sich entlang eines ersten Teils der Begrenzung B2 zwischen der Begrenzung B1 und dem Wannenbereich WR2 und eines zweiten Teils der Begrenzung B2 zwischen dem Wannenbereich WR2 und der Begrenzung B3 erstreckt. Der Wannenbereich WR1 erstreckt sich entlang der gesamten Begrenzung B1 und entlang der gesamten Begrenzung B3 von der Begrenzung B4 zu der Begrenzung B2.
  • Die Zelle 400C weist einen Wannenbereich WR1 auf, der sich entlang der gesamten Begrenzung B4 von der Begrenzung B1 zu der Begrenzung B3 erstreckt und sich entlang eines Teils der Begrenzung B2 zwischen einem ersten Abschnitt des Wannenbereich WR2 und einem zweiten Abschnitt des Wannenbereichs WR2 erstreckt. Der Wannenbereich WR1 erstreckt sich entlang eines Teils der Begrenzung B1 zwischen der Begrenzung B4 und dem ersten Abschnitt des Wannenbereichs WR2 und entlang eines Abschnitts der Begrenzung B3 zwischen der Begrenzung B4 und dem zweiten Abschnitt des Wannenbereichs WR2 von der Begrenzung B4 zu der Begrenzung B2.
  • Bei den Ausführungsformen, die in 4 dargestellt sind, bezeichnet der Wannenbereich WR1 einen Abschnitt einer Zelle 400A bis 400C. der sich entlang der Begrenzung B4 erstreckt, und bezeichnet der Wannenbereich WR2 einen oder zwei zusätzliche Abschnitte der Zelle 400A bis 400C als schattierte Bereiche. Bei einigen Ausführungsformen entspricht der Wannenbereich WR2 einem Abschnitt einer Zelle 400A bis 400C, der sich entlang der Begrenzung B4 erstreckt, und entspricht der Wannenbereich WR1 dem einen oder den beiden durch schattierte Bereiche angegebenen zusätzlichen Abschnitten der Zelle 400A bis 400C, womit die Wannenbereiche WR1 und WR2 als umgekehrt angesehen werden.
  • Verschiedene Ausführungsformen der Zellen 400A bis 400C können kombiniert werden, wodurch Abschnitte von n-Wannen- und/oder p-Wannen-Strukturen, die von mehr als zwei Reihen von IC-Vorrichtungen gemeinsam verwendet werden, definiert werden, wie nachstehend und unter Bezugnahme auf 5 bis 7 näher besprochen wird.
  • Bei der Ausführungsform, die in 4 dargestellt ist, ist die Zellenhöhe CH1 eine einfache Zellenhöhe, die der Höhe einer Reihe von IC-Vorrichtungen, die ein einzelnes Exemplar eines PMOS-Transistors, der in der Y-Richtung mit einem einzelnen Exemplar eines NMOS-Transistors ausgerichtet ist, aufweisen, entspricht, und ist die Zellenhöhe CH2 eine doppelte Zellenhöhe, die dem Doppelten der Zellenhöhe CH1 gleich ist und zwei Reihen von IC-Vorrichtungen entspricht. Bei einigen Ausführungsformen weisen die Zellen 400A bis 400C andere Zellenhöhen als die in 4 dargestellten auf, z.B. weist eine der Zellen 400B oder 400C oder weisen beide eine Zellenhöhe CH1 auf.
  • Bei jeder der Ausführungsformen, die in 4 dargestellt sind, weisen die Zellen 400A bis 400C einen jeden der Wannenbereiche WR1 und WR2 mit Grenzen, die durch die in der X- oder der Y-Richtung verlaufenden Begrenzungen definiert sind, auf. Bei einigen Ausführungsformen weisen eine oder mehrere der Zellen 400A bis 400C Wannenbereiche WR1 und WR2 mit Grenzen, die durch eine oder mehrere Begrenzungen, welche anders ausgerichtet sind, indem sie z.B. in Bezug auf die Richtungen X und Y diagonal verlaufen, definiert sind, auf. Bei einigen Ausführungsformen weist eine Zelle 400A bis 400C keinen Wannenbereich WR2 auf, so dass die Begrenzungen des Wannenbereichs WR1 mit den Zellenbegrenzungen B1 bis B4 übereinstimmen.
  • Durch die oben besprochene Gestaltung weist jedes der IC-Layoutdiagramme, die Zellen 400A bis 400C entsprechen, einen Wannenbereich WR1, der sich von Begrenzungen B1 und B4 zu jeweiligen Begrenzungen B3 und B2 erstreckt, auf, und kann dadurch Abschnitte von Wannenstrukturen, die von mehr als zwei Reihen von IC-Vorrichtungen gemeinsam verwendet werden, definieren, wie nachstehend unter Bezugnahme auf 5 bis 7 näher besprochen wird, wodurch die Vorteile, die oben unter Bezugnahme auf die IC-Struktur 100 besprochen wurden, erhalten werden.
  • 5 ist ein Ablaufdiagramm eines Verfahrens 500 zum Erzeugen eines IC-Layoutdiagramms, z.B. eines IC-Layoutdiagramms einer Zelle 400A bis 400C, die oben unter Bezugnahme auf 4 besprochen wurde, nach einigen Ausführungsformen.
  • Bei einigen Ausführungsformen umfasst das Erzeugen des IC-Layoutdiagramms das Erzeugen des IC-Layoutdiagramms, das einer IC-Struktur, z.B. der oben unter Bezugnahme auf 1A bis 1C besprochenen IC-Struktur 100, entspricht, die auf Basis des erzeugten IC-Layoutdiagramms hergestellt wird.
  • Bei einigen Ausführungsformen wird das gesamte Verfahren 500 oder ein Teil davon durch einen Prozessor eines Computers, z.B. einen Prozessor 802 eines IC-Layoutdiagramm-Erzeugungssystems 800, das nachstehend unter Bezugnahme auf 8 besprochen wird, ausgeführt.
  • Einige oder alle Tätigkeiten des Verfahrens 500 können als Teil eines Designvorgangs, der in einer Designabteilung, z.B. einer nachstehend unter Bezugnahme auf 9 besprochenen Designabteilung 920 erfolgt, durchgeführt werden.
  • Bei einigen Ausführungsformen werden die Tätigkeiten des Verfahrens 500 in der Reihenfolge, die in 5 dargestellt ist, durchgeführt. Bei einigen Ausführungsformen werden die Tätigkeiten des Verfahrens 500 gleichzeitig und/oder in einer anderen Reihenfolge als der in 5 dargestellten Reihenfolge durchgeführt. Bei einigen Ausführungsformen werden vor, zwischen, während und/oder nach dem Durchführen einer oder mehrerer Tätigkeiten des Verfahrens 500 eine oder mehrere Tätigkeiten durchgeführt.
  • Bei der Tätigkeit 510 wird bei einigen Ausführungsformen ein IC-Layoutdiagramm einer gespeicherten Zelle von einer Speichervorrichtung erhalten, wobei die gespeicherte Zelle einen oder beide aus dem ersten und dem zweiten Wannenbereich aufweist. Bei einigen Ausführungsformen umfasst die gespeicherte Zelle, dass sich jeder der ersten Wannenbereiche von einer ersten Zellenbegrenzung zu einer zweiten Zellenbegrenzung erstreckt und sich nicht von einer dritten Zellenbegrenzung zu einer vierten Zellenbegrenzung erstreckt.
  • Bei einigen Ausführungsformen umfasst das Erhalten des IC-Layoutdiagramms der gespeicherten Zelle von der Speichervorrichtung das Erhalten des IC-Layoutdiagramms der gespeicherten Zelle von der Zellenbibliothek 807 eines IC-Layoutdiagramm-Erzeugungssystems 800, das nachstehend unter Bezugnahme auf 8 besprochen wird.
  • Bei der Tätigkeit 520 wird der erste Wannenbereich von einer ersten Zellenbegrenzung zu einer zweiten Zellenbegrenzung ausgedehnt, wobei die erste und die zweite Zellenbegrenzung entgegengesetzte Begrenzungen der Zelle in einer ersten Richtung sind. Bei einigen Ausführungsformen ist die erste Richtung zu jener einer Zellenhöhe orthogonal, z.B. die zu der oben unter Bezugnahme auf 4 besprochenen Zellenhöhe CH1 oder CH2 orthogonale X-Richtung.
  • Bei einigen Ausführungsformen umfasst das Ausdehnen des ersten Wannenbereichs von der ersten Zellenbegrenzung zu der zweiten Zellenbegrenzung das Ausdehnen des ersten Wannenbereichs entlang der gesamten dritten Zellenbegrenzung und eines Teils der vierten Zellenbegrenzung. Bei einigen Ausführungsformen umfasst das Ausdehnen des ersten Wannenbereichs von der ersten Zellenbegrenzung zu der zweiten Zellenbegrenzung das Ausdehnen des ersten Wannenbereichs entlang der gesamten dritten Zellenbegrenzung und der gesamten vierten Zellenbegrenzung. Bei einigen Ausführungsformen umfasst das Ausdehnen des ersten Wannenbereichs von der ersten Zellenbegrenzung zu der zweiten Zellenbegrenzung das Ausdehnen des ersten Wannenbereichs entlang eines Teils der dritten Zellenbegrenzung und eines Teils der vierten Zellenbegrenzung.
  • Bei einigen Ausführungsformen umfasst das Ausdehnen des ersten Wannenbereichs von der ersten Zellenbegrenzung zu der zweiten Zellenbegrenzung ein Ausdehnen des Wannenbereichs WR1 von der Begrenzung B4 zu der Begrenzung B2 einer wie oben unter Bezugnahme auf 4 besprochenen Zelle 400A bis 400C.
  • Bei der Tätigkeit 530 wird der erste Wannenbereich von einer dritten Zellenbegrenzung zu einer vierten Zellenbegrenzung ausgedehnt, wobei die dritte und die vierte Zellenbegrenzung entgegengesetzte Begrenzungen der Zelle in einer zu der ersten Richtung orthogonalen Richtung sind. Bei einigen Ausführungsformen ist die zweite Richtung jene einer Zellenhöhe, z.B. die Y-Richtung der oben unter Bezugnahme auf 4 besprochenen Zellenhöhe CH1 oder CH2.
  • Bei einigen Ausführungsformen umfasst das Ausdehnen des ersten Wannenbereichs von der dritten Zellenbegrenzung zu der vierten Zellenbegrenzung ein Ausdehnen des ersten Wannenbereichs entlang der gesamten ersten Zellenbegrenzung. Bei einigen Ausführungsformen umfasst das Ausdehnen des ersten Wannenbereichs von der dritten Zellenbegrenzung zu der vierten Zellenbegrenzung ein Ausdehnen des ersten Wannenbereichs entlang eines Teils der zweiten Zellenbegrenzung.
  • Bei einigen Ausführungsformen umfasst das Ausdehnen des ersten Wannenbereichs von der dritten Zellenbegrenzung zu der vierten Zellenbegrenzung ein Ausdehnen des Wannenbereichs WR1 von der Begrenzung B1 zu der Begrenzung B3 einer wie oben unter Bezugnahme auf 4 besprochenen Zelle 400A bis 400C.
  • Bei einigen Ausführungsformen umfasst das Ausdehnen des ersten Wannenbereichs von der dritten Zellenbegrenzung zu der vierten Zellenbegrenzung ein Vergrößern einer Größe des ersten Wannenbereichs der gespeicherten Zelle, die bei der Tätigkeit 510 erhalten wurden, und ein Verkleinern einer Größe des zweiten Wannenbereichs der gespeicherten Zelle, die bei der Tätigkeit 510 erhalten wurde.
  • Bei der Tätigkeit 540 wird bei einigen Ausführungsformen jeder aus dem ersten und dem zweiten Wannenbereich mit einem Abnehmerbereich überlappt. Bei einigen Ausführungsformen umfasst das Überlappen eines jeden aus dem ersten und dem zweiten Wannenbereich mit dem Abnehmerbereich ein Überlappen des Wannenbereichs WR1 mit dem Abnehmerbereich PR1 und ein Überlappen des Wannenbereichs WR2 mit dem Abnehmerbereich PR2, wie oben unter Bezugnahme auf 4 besprochen wurde.
  • Bei der Tätigkeit 550 wird bei einigen Ausführungsformen das IC-Layoutdiagramm in einer Speichervorrichtung gespeichert. Bei verschiedenen Ausführungsformen umfasst das Speichern des IC-Layoutdiagramms in der Speichervorrichtung ein Speichern des IC-Layoutdiagramms in einem nichtflüchtigen computerlesbaren Speicher oder einer Zellenbibliothek, z.B. einer Datenbank, und/oder umfasst es ein Speichern des IC-Layoutdiagramms über ein Netzwerk. Bei einigen Ausführungsformen umfasst das Speichern des IC-Layoutdiagramms in der Speichervorrichtung ein Speichern des IC-Layoutdiagramms in der Zellenbibliothek 807 oder über ein Netzwerk 814 des IC-Layoutdiagramm-Erzeugungssystems 800, das nachstehend unter Bezugnahme auf 8 besprochen wird.
  • Bei der Tätigkeit 560 wird bei einigen Ausführungsformen das IC-Layoutdiagramm in einem IC-Layoutdiagramm eines IC-Dies angeordnet. Bei verschiedenen Ausführungsformen umfasst das Anordnen des IC-Layoutdiagramms in dem IC-Layoutdiagramm des IC-Dies ein Drehen des IC-Layoutdiagramms um eine oder mehrere Achsen, ein Verschieben des IC-Layoutdiagramms in Bezug auf ein oder mehrere zusätzliche IC-Layoutdiagramme in eine oder mehrere Richtungen und/oder ein Umkehren des ersten und des zweiten Wannenbereichs.
  • Bei einigen Ausführungsformen umfasst das Anordnen des IC-Layoutdiagramms in dem IC-Layoutdiagramm des IC-Dies ein Anordnen des IC-Layoutdiagramms in einem der IC-Layoutdiagramme 6ooA bis 600C, die nachstehend unter Bezugnahme auf 6A bis 6C besprochen werden.
  • Bei einigen Ausführungsformen umfasst das Anordnen des IC-Layoutdiagramms in dem IC-Layoutdiagramm des IC-Dies das Ausführen einer oder mehrerer Tätigkeiten eines Verfahrens 700, das nachstehend unter Bezugnahme auf 7 besprochen wird.
  • Bei der Tätigkeit 570 wird bei einigen Ausführungsformen auf Basis des IC-Layoutdiagramms wenigstens eine aus einer oder mehreren Halbleitermasken oder wenigstens eine Komponente in einer Schicht einer Halbleiter-IC angefertigt. Das Anfertigen einer oder mehrerer Halbleitermasken oder wenigstens einer Komponente in einer Schicht einer Halbleiter-IC wird nachstehend unter Bezugnahme auf 9 besprochen.
  • Bei der Tätigkeit 580 werden bei einigen Ausführungsformen auf Basis des IC-Layoutdiagramms eine oder mehrere Herstellungstätigkeiten durchgeführt. Bei einigen Ausführungsformen umfasst das Durchführen einer oder mehrerer Herstellungstätigkeiten ein Durchführen einer oder mehrerer lithographischer Belichtungen auf Basis des IC-Layoutdiagramms. Das Durchführen einer oder mehrerer Herstellungstätigkeiten, z.B. einer oder mehrerer lithographischer Belichtungen, auf Basis des IC-Layoutdiagramms wird nachstehend unter Bezugnahme auf 9 besprochen.
  • Durch das Ausführen einiger oder aller Tätigkeiten des Verfahrens 500 wird ein IC-Layoutdiagramm erzeugt, das einer IC-Struktur, bei der eine erste und eine zweite Wanne von mehr als zwei Reihen von IC-Vorrichtungen verwendet werden, entspricht, wodurch die Vorteile, die oben unter Bezugnahme auf die IC-Struktur 100 besprochen wurden, erhalten werden.
  • 6A bis 6C sind Diagramme von jeweiligen IC-Layoutdiagrammen 6ooA bis 600C nach einigen Ausführungsformen. 6A bis 6C zeigen Draufsichten auf die jeweiligen IC-Layoutdiagramme 6ooA bis 600C und die oben unter Bezugnahme auf 1A, 1B und 4 besprochenen Richtungen X und Y.
  • Jedes der IC-Layoutdiagramme 600A bis 600C weist einen IC-Vorrichtungsbereich ICR auf, der Reihen R1 bis R5 aufweist, die den Reihen R1 bis R5 von IC-Vorrichtungen 100C entsprechen, welche oben unter Bezugnahme auf 1A besprochen wurden. Der IC-Vorrichtungsbereich ICR ist ein Bereich in jedem der IC-Layoutdiagramme 6ooA bis 600C, der mehrere Zellen und/oder andere IC-Layoutelemente aufweist, die als Teil der Definition von mehreren IC-Vorrichtungen, z.B. IC-Vorrichtungen 100C, zur Aufnahme in einen Herstellungsprozess eingerichtet sind. Die Darstellung des IC-Vorrichtungsbereichs ICR in jeder von 6A bis 6C ist zum Zweck der Erläuterung vereinfacht, wie oben unter Bezugnahme auf 1A besprochen wurde.
  • Die IC-Layoutdiagramme 6ooA bis 600C weisen auch jeweilige Grenzbereiche BR1A bis BR1C, die dem oben unter Bezugnahme auf 1A besprochenen Grenzbereich 100A entsprechen, und jeweilige Grenzbereiche BR2A bis BR2C, die dem ebenfalls oben besprochenen Grenzbereich 100B entsprechen, auf. Jeder der Grenzbereiche BR1A und BR2A weist mehrere Exemplare der Zelle 400A auf, jeder der Grenzbereiche BR1B und BR2B weist mehrere Exemplare der Zelle 400B auf, und jeder der Grenzbereiche BR1C und BR2C weist mehrere Exemplare der Zelle 400C auf, die jeweils oben unter Bezugnahme auf 4 besprochen wurden.
  • Die Anzahlen der Reihen R1 bis R5 und der Exemplare der Zellen 400A bis 400C, die in 6A bis 6C dargestellt, sind zum Zweck der Erläuterung bereitgestellt. Bei verschiedenen Ausführungsformen weist eines oder weisen mehrere der IC-Layoutdiagramme 600A bis 600C eine oder mehrere andere Anzahlen von Reihen oder Zellen als die in 6A bis 6C dargestellten Anzahlen auf.
  • Wie nachstehend besprochen wird, weist jedes der IC-Layoutdiagramme 6ooA bis 600C die entsprechenden Exemplare der Zellen 400A bis 400C und den IC-Vorrichtungsbereich ICR auf, die eine Anordnung aufweisen, wodurch Wannenbereiche WR1 und WR2, die sich sowohl in die X- als auch in die Y-Richtung erstrecken, definiert werden. Bei einigen Ausführungsformen entsprechen die Wannenbereiche WR1 und WR2 jeweiligen oben unter Bezugnahme auf 1A besprochenen fortlaufenden Wannen WA und WB.
  • Bei der Ausführungsform, die in 6A dargestellt ist, weist jeder der Grenzbereiche BR1A und BR2A in jeder der Reihen R1 bis R5 ein Exemplar der Zelle 400A auf. In dem Grenzbereich BR1A entsprechen die Exemplare der Zelle 400A in den Reihen R1, R3 und R5 der in 4 dargestellten Ausführungsform und entsprechen die Exemplare der Zelle 400A in den Reihen R2 und R4 der in 4 dargestellten Ausführungsform, die um die x-Achse gedreht ist. In dem Grenzbereich BR2A entsprechen die Exemplare der Zelle 400A in den Reihen R2 und R4 der in 4 dargestellten Ausführungsform, die um die y-Achse gedreht ist und umgekehrte Wannenbereiche WR1 und WR2 aufweist, und entsprechen die Exemplare der Zelle 400A in den Reihen R1, R3 und R5 den Exemplaren in den Reihen R2 und R4, die weiter um die y-Achse gedreht sind.
  • Bei der Ausführungsform, die in 6B dargestellt ist, weist der Grenzbereich BR1B ein Exemplar der Zelle 400B in den Reihen R1 und R2, ein Exemplar der Zelle 400B in den Reihen R3 und R4 und ein Exemplar der Zelle 400B, die einen Teil der Reihe R5 einnimmt, auf, wobei jedes Exemplar der Zelle 400B der in 4 dargestellten Ausführungsform entspricht. Der Grenzbereich BR2B weist ein Exemplar der Zelle 400B, die einen Teil der Reihe R1 einnimmt, ein Exemplar der Zelle 400B in den Reihen R2 und R3 und ein Exemplar der Zelle 400B in den Reihen R4 und R5 auf, wobei jedes Exemplar der Zelle 400B der in 4 dargestellten Ausführungsform, die um die y-Achse gedreht ist und umgekehrte Wannenbereiche WR1 und WR2 aufweist, entspricht.
  • Bei der Ausführungsform, die in 6C dargestellt ist, weist der Grenzbereich BGR1C ein Exemplar der Zelle 400C, die einen Teil der Reihe R1 einnimmt, ein Exemplar der Zelle 400C in den Reihen R2 und R3 und ein Exemplar der Zelle 400C in den Reihen R4 und R5 auf, wobei jedes Exemplar der Zelle 400C der in 4A dargestellten Ausführungsform entspricht. Der Grenzbereich BR2C weist ein Exemplar der Zelle 400C in den Reihen R1 und R2, ein Exemplar der Zelle 400C in den Reihen R3 und R4, und ein Exemplar der Zelle 400C, die einen Teil der Reihe R5 einnimmt, auf, wobei jedes Exemplar der Zelle 400C der in 4 dargestellten Ausführungsform, die um die y-Achse gedreht ist und umgekehrte Wannenbereiche WR1 und WR2 aufweist, entspricht.
  • Bei den Ausführungsformen, die in 6A bis 6C dargestellt sind, weist jedes der IC-Layoutdiagramme 600A bis 600C Exemplare einer einzelnen der Zellen 400A bis 400C, die in jedem der entsprechenden Grenzbereiche BR1A bis BR1C und BR2A bis BR2C positioniert sind, auf, wodurch die entsprechenden Wannenbereiche WR1 und WR2 der Zellen 400A bis 400C und der IC-Vorrichtungsbereich ICR in der X-Richtung ausgerichtet sind. Bei verschiedenen Ausführungsformen weisen ein oder mehrere der IC-Layoutdiagramme 6ooA bis 600C Exemplare von mehr als einer der Zellen 400A bis 400C, die in einem oder beiden der entsprechenden Grenzbereiche BR1A bis BR1C und BR2A bis BR2C positioniert sind, auf, wodurch die entsprechenden Wannenbereiche WR1 und WR2 der Zellen 400A bis 400C und der IC-Vorrichtungsbereich ICR anders in der X-Richtung ausgerichtet sind.
  • Jedes der IC-Layoutdiagramme 600A bis 600C weist in jedem der Wannenbereiche WR1 und WR2 ein oder mehrere Exemplare eines Abnehmerbereichs (nicht gezeigt) auf, die einem oder mehreren Abnehmerstrukturen in den auf Basis des IC-Layoutdiagramms 600A bis 600C hergestellten Wannenstrukturen entsprechen. Die Anzahlen der Abnehmerbereiche stehen gemäß der obigen Besprechung in Bezug auf die Abnehmerstrukturen PA und PB der IC-Struktur 100 und 1A bis 3 mit den Anzahlen der Reihen des IC-Vorrichtungsbereichs ICR und den Anzahlen der Abschnitte der Wannenbereiche WR1 und WR2, die in der X-Richtung verlaufen, in Zusammenhang.
  • Bei einigen Ausführungsformen sind der eine oder die mehreren Abnehmerbereiche z.B. als eine oder mehrere Abgriffszellen in dem IC-Vorrichtungsbereich ICR enthalten und entsprechen dadurch den wie in 1A dargestellten Abnehmerstrukturen PA und PB. Bei einigen Ausführungsformen sind der eine oder die mehreren Abnehmerbereiche Abnehmerbereiche PR1 und PR2, die in einem oder mehreren Exemplaren der in dem entsprechenden IC-Layoutdiagramm 6ooA bis 600C enthaltenen Zellen 400A bis 400C enthalten sind.
  • Durch die oben besprochenen Gestaltungen weist jedes der IC-Layoutdiagramme 600A bis 600C einen jeden der Wannenbereiche WR1 und WR2 auf, die dazu eingerichtet sind, Abschnitte von Wannenstrukturen, welche von mehr als zwei Reihen von IC-Vorrichtungen gemeinsam verwendet werden, zu definieren, wie nachstehend unter Bezugnahme auf 7 näher besprochen wird, wodurch die Vorteile, die oben unter Bezugnahme auf die IC-Struktur 100 besprochen wurden, erhalten werden. Bei Ausführungsformen, die einen oder mehrere Abnehmerbereiche PR1 und PR2 aufweisen, wird die durch den IC-Vorrichtungsbereich ICR definierte Fläche, die für IC-Vorrichtungen verfügbar ist, weiter vergrößert, wodurch verglichen mit Ansätzen, bei denen einer oder mehrere Abnehmerbereiche in einem IC-Vorrichtungsbereich positioniert sind, die Layouteffizienz weiter verbessert wird und die Routingflexibilität verbessert wird.
  • 7 ist ein Ablaufdiagramm eines Verfahrens 700 zum Erzeugen eines IC-Layoutdiagramms, z.B. eines der oben unter Bezugnahme auf 6A bis 6C besprochenen IC-Layoutdiagramme 600A bis 600C, nach einigen Ausführungsformen. Bei einigen Ausführungsformen umfasst das Erzeugen des IC-Layoutdiagramms ein Erzeugen des IC-Layoutdiagramms, das der oben unter Bezugnahme auf 1A bis 3 besprochenen IC-Struktur 100 entspricht, die auf Basis des erzeugten IC-Layoutdiagramms hergestellt wird.
  • Bei einigen Ausführungsformen wird das gesamte Verfahren 700 oder ein Teil davon durch einen Prozessor eines Computers ausgeführt. Bei einigen Ausführungsformen wird das gesamte Verfahren 700 oder ein Teil davon durch einen Prozessor 802 des nachstehend unter Bezugnahme auf 8 besprochenen IC-Layoutdiagramm-Erzeugungssystems 800 ausgeführt.
  • Einige oder alle Tätigkeiten des Verfahrens 700 können als Teil eines Designvorgangs, der in einer Designabteilung, z.B. der nachstehend unter Bezugnahme auf 9 besprochenen Designabteilung 920 erfolgt, durchgeführt werden.
  • Bei einigen Ausführungsformen werden die Tätigkeiten des Verfahrens 700 in der Reihenfolge, die in 7 dargestellt ist, durchgeführt. Bei einigen Ausführungsformen werden die Tätigkeiten gleichzeitig und/oder in einer anderen Reihenfolge als der in 7 dargestellten Reihenfolge durchgeführt. Bei einigen Ausführungsformen werden vor, zwischen während und/oder nach dem Durchführen einer oder mehrerer Tätigkeiten des Verfahrens 700 eine oder mehrere Tätigkeiten durchgeführt.
  • Bei der Tätigkeit 710 werden bei einigen Ausführungsformen mehrere Grenzzellen erhalten. Das Erhalten der mehreren Grenzzellen umfasst ein Erhalten jeder Grenzzelle der mehreren Grenzzellen, die einen von einer ersten Begrenzung zu einer zweiten Begrenzung und von einer dritten Begrenzung zu einer vierten Begrenzung verlaufenden ersten Wannenbereich aufweist.
  • Bei verschiedenen Ausführungsformen umfasst das Erhalten der mehreren Grenzzellen ein Erhalten einiger oder aller der mehreren Grenzzellen, die gleiche oder unterschiedliche Ausrichtungen aufweisen und/oder einen ersten und einen zweiten Wannenbereich mit gleichen oder umgekehrten Kennzeichnungen aufweisen.
  • Bei einigen Ausführungsformen umfasst das Erhalten der mehreren Grenzzellen ein Erhalten von Mehrzahlen einer oder mehrerer der oben unter Bezugnahme auf 4 besprochenen Zellen 400A bis 400C. Bei einigen Ausführungsformen umfasst das Erhalten der mehreren Grenzzellen ein Durchführen einer oder mehrerer Tätigkeiten des Verfahrens 500, das oben unter Bezugnahme auf 5 besprochen wurde.
  • Bei einigen Ausführungsformen umfasst das Erhalten der mehreren Grenzzellen ein Erhalten der mehreren Grenzzellen von einer Zellenbibliothek, z.B. der nachstehend unter Bezugnahme auf 8 besprochenen Zellenbibliothek 807.
  • Bei der Tätigkeit 720 werden die mehreren Grenzzellen in einem ersten und einem zweiten Grenzbereich angeordnet, wodurch ein in einer ersten Richtung verlaufender erster Abschnitt eines jeden des ersten und des zweiten Wannenbereichs definiert wird. Bei einigen Ausführungsformen umfasst das Definieren des ersten Abschnitts ein Ausrichten der dritten und der vierten Begrenzung der Mehrzahlen in der ersten Richtung.
  • Bei einigen Ausführungsformen umfasst das Anordnen der mehreren Grenzzellen ein Neuausrichten einer oder mehrerer der Grenzzellen und/oder ein Umkehren des ersten und des zweiten Wannenbereichs einer oder mehrerer der Grenzzellen, wie oben unter Bezugnahme auf 4 besprochen wurde.
  • Bei einigen Ausführungsformen umfasst das Anordnen der mehreren Grenzzellen in dem einen oder den mehreren Grenzbereichen ein Anordnen von zwei oder mehr der Zellen 400A bis 400C in den wie oben unter Bezugnahme auf 6A bis 6C besprochenen Grenzbereichen BR1A bis BR1C und BR2A bis BR2C.
  • Bei der Tätigkeit 730 werden der erste und der zweite Grenzbereich mit einem IC-Vorrichtungsbereich ausgerichtet, wodurch mehrere Wannenbereichsabschnitte eines jeden des ersten und des zweiten Wannenbereichs definiert werden, die sich in einer zu der ersten Richtung orthogonalen zweiten Richtung erstrecken.
  • Das Ausrichten des ersten und des zweiten Grenzbereichs mit dem IC-Vorrichtungs-Designbereich umfasst ein Ausrichten des n-Wannen- und des p-Wannen-Bereichs eines jeden der Grenzbereiche mit dem n-Wannen- und dem p-Wannenbereich des IC-Vorrichtungs-Designbereichs.
  • Bei einigen Ausführungsformen umfasst das Ausrichten des ersten und des zweiten Grenzbereichs mit dem IC-Vorrichtungsbereich ein Ausrichten von zwei oder mehr der Zellen 400A bis 400C in den Grenzbereichen BR1A bis BR1C und BR2A bis BR2C mit dem wie oben unter Bezugnahme auf 6A bis 6C besprochenen IC-Vorrichtungs-Designbereich ICR.
  • Bei der Tätigkeit 740 wird ein IC-Layoutdiagramm, das die mehreren Grenzzellen und den IC-Vorrichtungs-Designbereich aufweist, erzeugt. Das Erzeugen des IC-Layoutdiagramms wird durch einen Prozessor, z.B. den Prozessor 802 des nachstehend unter Bezugnahme auf 8 besprochenen IC-Layout-Erzeugungssystems 800 durchgeführt. Bei einigen Ausführungsformen umfasst das Erzeugen des IC-Layoutdiagramms, das die mehreren Grenzzellen und den IC-Vorrichtungs-Designbereich aufweist, ein Ausführen eines oder mehrerer automatisierter Platzierungs- und Routing-Algorithmen (automated-place-and-route algorithms, APR-Algorithmen), wodurch eine oder mehrere Funktionszellen in dem IC-Vorrichtungsbereich angeordnet werden.
  • Bei einigen Ausführungsformen umfasst das Erzeugen des IC-Layoutdiagramms ein Erzeugen eines der IC-Layout-Diagramme 600A bis 600C, die oben unter Bezugnahme auf 6A bis 6C besprochen wurden.
  • Bei einigen Ausführungsformen handelt es sich bei den mehreren Grenzzellen um eine Mehrzahl von Grenzzellen von mehreren Mehrzahlen von Grenzzellen, ist der IC-Vorrichtungs-Designbereich ein IC-Vorrichtungs-Designbereich von mehreren IC-Vorrichtungs-Designbereichen, und umfasst das Erzeugen des IC-Layoutdiagramms ein Erzeugen des IC-Layoutdiagramms, das die mehreren Mehrzahlen von Grenzzellen und die mehreren IC-Vorrichtungs-Designbereiche aufweist.
  • Bei einigen Ausführungsformen umfasst das Erzeugen des IC-Layoutdiagramms, das die mehreren Grenzzellen und den IC-Vorrichtungs-Designbereich aufweist, ein Erzeugen des IC-Layoutdiagramms, das ferner einen oder mehrere TSV-Bereiche aufweist, die als Teil der Definition eines oder mehrerer TSV-Strukturen, z.B. der TSV-Struktur TSVS, die oben unter Bezugnahme auf 1B und 1C besprochen wurde, zur Aufnahme in einen Herstellungsprozess eingerichtet sind.
  • Bei der Tätigkeit 750 wird bei einigen Ausführungsformen das IC-Layoutdiagramm in einer Speichervorrichtung gespeichert. Bei verschiedenen Ausführungsformen umfasst das Speichern des IC-Layoutdiagramms in der Speichervorrichtung ein Speichern des IC-Layoutdiagramms in einem nichtflüchtigen computerlesbaren Speicher oder einer Zellenbibliothek, z.B. einer Datenbank, und/oder umfasst es ein Speichern des IC-Layoutdiagramms über ein Netzwerk. Bei verschiedenen Ausführungsformen umfasst das Speichern des IC-Layoutdiagramms in der Speichervorrichtung ein Speichern des IC-Layoutdiagramms in einem computerlesbaren Speichermedium 804 und/oder über das Netzwerk 814 des nachstehend unter Bezugnahme auf 8 besprochenen IC-Layoutdiagramm-Erzeugungssystems 800.
  • Bei der Tätigkeit 760 wird bei einigen Ausführungsformen auf Basis des IC-Layoutdiagramms wenigstens eine aus einer oder mehreren Halbleitermasken oder wenigstens eine Komponente in einer Schicht einer Halbleiter-IC angefertigt. Das Anfertigen einer oder mehrerer Halbleitermasken oder wenigstens einer Komponente in einer Schicht einer Halbleiter-IC wird nachstehend unter Bezugnahme auf das IC-Herstellungssystem 900 und 9 besprochen.
  • Bei der Tätigkeit 770 werden bei einigen Ausführungsformen auf Basis des IC-Layoutdiagramms eine oder mehrere Herstellungstätigkeiten durchgeführt. Bei einigen Ausführungsformen umfasst das Durchführen einer oder mehrerer Herstellungstätigkeiten ein Durchführen eines oder mehrerer lithographischer Belichtungen auf Basis des IC-Layoutdiagramms. Das Durchführen einer oder mehrerer Herstellungstätigkeiten, z.B. einer oder mehrerer lithographischer Belichtungen, auf Basis des IC-Layoutdiagramms wird nachstehend unter Bezugnahme auf 9 besprochen.
  • Durch das Ausführen einiger oder aller Tätigkeiten des Verfahrens 700 wird ein IC-Layoutdiagramm erzeugt, das einer IC-Struktur entspricht, in der eine erste und eine zweite Wanne von mehr als zwei Reihen von IC-Vorrichtungen gemeinsam verwendet werden, wodurch die Vorteile, die oben unter Bezugnahme auf die IC-Struktur 100 und die IC-Layout-Diagramme 600A bis 600C besprochen wurden, erhalten werden.
  • 8 ist ein Blockdiagramm des IC-Layoutdiagramm-Erzeugungssystems 800 nach einigen Ausführungsformen. Die in diesem Dokument beschriebenen Verfahren zum Designen von IC-Layoutdiagrammen nach einer oder mehreren Ausführungsformen können nach einigen Ausführungsformen z.B. unter Verwendung des IC-Layoutdesign-Erzeugungssystems 800 umgesetzt werden.
  • Bei einigen Ausführungsformen ist das IC-Layoutdiagramm-Erzeugungssystem 800 eine Allzweck-Rechenvorrichtung, die einen Hardwareprozessor 802 und ein nichtflüchtiges, computerlesbares Speichermedium 804 aufweist. Das Speichermedium 804 ist unter anderem mit einem Computerprogrammcode 806, d.h., einem Satz von ausführbaren Anweisungen, codiert, d.h., speichert einen solchen. Die Ausführung der Anweisungen 806 durch den Hardwareprozessor 802 stellt (wenigstens zum Teil) ein EDA-Werkzeug dar, das ein Verfahren, z.B. das oben unter Bezugnahme auf 5 beschriebene Verfahren 500 zum Erzeugen eines IC-Layoutdiagramms und/oder das oben unter Bezugnahme auf 7 beschriebene Verfahren 700 zum Erzeugen eines IC-Layoutdiagramms (nachstehend „die angeführten Prozesse und/oder Verfahren“), oder einen Teil davon umsetzt.
  • Der Prozessor 802 ist über einen Bus 808 elektrisch mit dem computerlesbaren Speichermedium 804 gekoppelt. Der Prozessor 802 ist durch den Bus 808 auch elektrisch mit einer E/A-Schnittstelle 810 gekoppelt. Über den Bus 808 ist auch eine Netzwerkschnittstelle 812 elektrisch an den Prozessor 802 angeschlossen. Die Netzwerkschnittstelle 812 ist an ein Netzwerk 814 angeschlossen, so dass sich der Prozessor 802 und das computerlesbare Speichermedium 804 über das Netzwerk 814 mit externen Elementen verbinden können. Der Prozessor 802 ist dazu eingerichtet, den in das computerlesbare Speichermedium 804 codierten Computerprogrammcode 806 auszuführen, um zu bewirken, dass das IC-Layoutdiagramm-Erzeugungssystem 800 zum Durchführen aller angeführten Prozesse und/oder Verfahren oder eines Teils davon verwendbar ist. Bei einer oder mehreren Ausführungsformen ist der Prozessor 802 eine zentrale Verarbeitungseinheit (CPU), ein Multiprozessor, ein verteiltes Verarbeitungssystem, eine anwendungsspezifische integrierte Schaltung (ASIC) und/oder eine geeignete Verarbeitungseinheit.
  • Bei einer oder mehreren Ausführungsformen ist das computerlesbare Speichermedium 804 ein elektronisches, magnetisches, optisches, elektromagnetisches, Infrarot- und/oder Halbleitersystem (oder ein solches Gerät oder eine solche Vorrichtung). Beispielsweise weist das computerlesbare Speichermedium 804 einen Halbleiter- oder Festkörperspeicher, ein Magnetband, eine entfernbare Computerdiskette, einen Direktzugriffsspeicher (RAM), einen Nurlesespeicher (ROM), eine feste Magnetplatte und/oder eine optische Platte auf. Bei einer oder mehreren Ausführungsformen, die optische Platten verwenden, weist das computerlesbare Speichermedium 804 einen Compact-Disk-Nurlesespeicher (CD-ROM), eine wiederbeschreibbare Compact-Disk (CD-R/W) und/oder eine digitale Videodisk (DVD) auf.
  • Bei einer oder mehreren Ausführungsformen speichert das computerlesbare Speichermedium 804 einen Computerprogrammcode 806, der dazu eingerichtet ist, zu bewirken, dass das IC-Layoutdiagramm-Erzeugungssystem 800 (wo dessen Ausführung (wenigstens zum Teil) das EDA-Werkzeug darstellt) zur Durchführen der angeführten Prozesse und/oder Verfahren oder eines Teils davon verwendbar ist. Bei einer oder mehreren Ausführungsformen speichert das computerlesbare Speichermedium 804 auch Informationen, die das Durchführen der angeführten Prozesse und/oder Verfahren oder eines Teils davon erleichtern. Bei einer oder mehreren Ausführungsformen speichert das computerlesbare Speichermedium 804 eine Zellenbibliothek 807 von Zellen einschließlich von wie in diesem Dokument offenbarten Zellen, z.B. den oben unter Bezugnahme auf 4 bis 7 besprochenen Zellen 400A bis 400C.
  • Das IC-Layoutdiagramm-Erzeugungssystem 800 weist die E/A-Schnittstelle 810 auf. Die E/A-Schnittstelle 810 ist mit einer externen Schaltungsanordnung gekoppelt. Bei einer oder mehreren Ausführungsformen weist die E/A-Schnittstelle 810 eine Tastatur, ein Tastenfeld, eine Maus, eine Steuerkugel, einen Touchscreen und/oder Cursor-Richtungstasten auf, um dem Prozessor 802 Informationen und Befehle zu übermitteln.
  • Das IC-Layoutdiagramm-Erzeugungssystem 800 weist auch die mit dem Prozessor 802 gekoppelte Netzwerkschnittstelle 812 auf. Die Netzwerkschnittstelle 812 gestattet dem System 800 eine Kommunikation mit dem Netzwerk 814, an das ein oder mehrere andere Computersysteme angeschlossen sind. Die Netzwerkschnittstelle 812 umfasst drahtlose Netzwerkschnittstellen wie etwa BLUETOOTH, WIFI, WIMAX, GPRS oder WCDMA; oder drahtgebundene Netzwerkschnittstellen wie etwa ETHERNET, USB oder IEEE-1364. Bei einer oder mehreren Ausführungsformen werden die angeführten Prozesse und/oder Verfahren oder ein Teil davon in zwei oder mehr IC-Layoutdiagramm-Erzeugungssystemen 800 implementiert.
  • Das IC-Layoutdiagramm-Erzeugungssystem 800 ist zum Erhalt von Informationen durch die E/A-Schnittstelle 810 eingerichtet. Die Informationen, die durch die E/A-Schnittstelle 810 erhalten werden, enthalten eines oder mehrere aus Anweisungen, Daten, Designregeln, Bibliotheken von Standardzellen und/oder anderen Parametern zur Verarbeitung durch den Prozessor 802 eingerichtet. Die Informationen werden über den Bus 808 zu dem Prozessor 802 übertragen. Das IC-Layoutdiagramm-Erzeugungssystem 800 ist zum Erhalt von Informationen im Zusammenhang mit einer UI (user interface, Benutzerschnittstelle) durch die E/A-Schnittstelle 810. Die Informationen werden als Benutzerschnittstelle (UI) 842 in dem computerlesbaren Medium 804 gespeichert.
  • Bei einigen Ausführungsformen sind die angeführten Prozesse und/oder Verfahren oder ein Teil davon als eigenständige Softwareanwendung zur Ausführung durch einen Prozessor implementiert. Bei einigen Ausführungsformen sind die angeführten Prozesse und/oder Verfahren oder ein Teil davon als Softwareanwendung, die ein Teil einer zusätzlichen Softwareanwendung ist, implementiert. Bei einigen Ausführungsformen sind die angeführten Prozesse und/oder Verfahren oder ein Teil davon als Plug-In zu einer Softwareanwendung implementiert. Bei einigen Ausführungsformen ist wenigstens einer/eines der angeführten Prozesse/Verfahren als eine Softwareanwendung, die ein Teil eines EDA-Werkzeugs ist, implementiert. Bei einigen Ausführungsformensind die angeführten Prozesse und/oder Verfahren oder ein Teil davon als eine Softwareanwendung, die durch das IC-Layoutdesign-Erzeugungssystem 800 verwendet wird, implementiert. Bei einigen Ausführungsformen wird ein Layoutdiagramm, das Standardzellen aufweist, unter Verwendung eines Werkzeugs wie etwa VIRTUOSO®, das von der CADENCE DESIGN SYSTEMS, Inc., erhältlich ist, oder eines anderen geeigneten Layouterzeugungswerkzeugs erzeugt.
  • Bei einigen Ausführungsformen werden die Prozesse als Funktionen eines Programms, das in einem nichtflüchtigen computerlesbaren Aufzeichnungsmedium gespeichert ist, umgesetzt. Beispiele für ein nichtflüchtiges computerlesbares Aufzeichnungsmedium umfassen externe/entfernbare und/oder interne/eingebaute Massenspeicher- oder Speichereinheiten, z.B. eines oder mehrere aus einer optischen Platte wie etwa einer DVD, einer magnetischen Platte wie etwa einer Festplatte, einem Halbleiterspeicher wie etwa einem ROM, einem RAM, einer Speicherkarte, und dergleichen, sind aber nicht darauf beschränkt.
  • 9 ist ein Blockdiagramm eines IC-Herstellungssystems 900 und eines damit verbundenen IC-Herstellungsablauf nach einigen Ausführungsformen. Bei einigen Ausführungsformen wird unter Verwendung des Herstellungssystems 900 wenigstens eines aus (A) einer oder mehreren Halbleitermasken oder (B) wenigstens einer Komponente in einer Schicht einer integrierten Halbleiterschaltung auf Basis eines IC-Layoutdiagramms angefertigt.
  • In 9 weist das IC-Herstellungssystem 900 Einheiten wie etwa eine Designabteilung 920, eine Maskenabteilung 930 und einen IC-Hersteller/Erzeuger („Erzeug“) 950 auf, die miteinander bei den Zyklen des Designs, der Entwicklung und der Herstellung und/oder bei Diensten im Zusammenhang mit der Herstellung einer IC-Vorrichtung 960 interagieren. Die Einheiten in dem System 900 sind durch ein Kommunikationsnetzwerk verbunden. Bei einigen Ausführungsformen ist das Kommunikationsnetzwerk ein einzelnes Netzwerk. Bei einigen Ausführungsformen ist das Kommunikationsnetzwerk eine Vielfalt von unterschiedlichen Netzwerken wie etwa ein Intranet und das Internet. Das Kommunikationsnetzwerk weist drahtgebundene und/oder drahtlose Kommunikationskanäle auf. Jede Einheit interagiert mit einer oder mehreren der anderen Einheiten und leistet einer oder mehreren der anderen Einheiten Dienste und/oder erhält solche von einer oder mehreren der anderen Einheiten. Bei einigen Ausführungsformen stehen zwei oder mehr aus der Designabteilung 920, der Maskenabteilung 930 und dem IC-Erzeuger 950 im Besitz einer einzelnen größeren Firma. Bei einigen Ausführungsformen sind zwei oder mehr aus der Designabteilung 920, der Maskenabteilung 930 und dem IC-Erzeuger 950 nebeneinander in einer gemeinsamen Einrichtung vorhanden und benutzen gemeinsame Ressourcen.
  • Die Designabteilung (oder das Designteam) 920 erzeugt ein IC-Designlayoutdiagramm 922. Das IC-Designlayoutdiagramm 922 weist verschiedene geometrische Strukturen, z.B. eine Zelle 400A bis 400C und/oder ein IC-Layoutdiagramm 6ooA bis 600C, die oben unter Bezugnahme auf 4 bis 7 besprochen wurden, auf. Die geometrischen Strukturen entsprechen Strukturen von Metall-, Oxid- oder Halbleiterschichten, die die verschiedenen Komponenten der herzustellenden IC-Vorrichtung 960 aufbauen. Die verschiedenen Schichten werden kombiniert, um verschiedene IC-Elemente zu bilden. Zum Beispiel weist ein Abschnitt des IC-Designlayoutdiagramms 922 verschiedene IC-Elemente wie etwa einen aktiven Bereich, eine Gateelektrode, eine Source und einen Drain, Metallleitungen oder Durchkontaktierungen einer Zwischenschicht-Zwischenverbindung und Öffnungen für Bondpads, die in einem Halbleitersubstrat (wie etwa einem Siliziumwafer) gebildet werden sollen, und verschiedene Materialschichten, die auf dem Halbleitersubstrat angeordnet werden, auf. Die Designabteilung 920 implementiert einen ordentlichen Designvorgang, um das IC-Designlayoutdiagramm 922 zu bilden. Der Designvorgang umfasst eines oder mehrere aus einem logischen Design, einem physischen Design oder einem Platzieren und Routen. Das IC-Designdiagramm 922 wird in einer oder mehreren Datendateien, die Informationen hinsichtlich der geometrischen Strukturen aufweisen, vorgelegt. Zum Beispiel kann das IC-Designlayoutdiagramm 922 in einem GDSII-Dateiformat oder einen DFII-Dateiformat ausgedrückt werden.
  • Zu der Maskenabteilung 930 gehören die Datenvorbereitung 932 und die Maskenanfertigung 944. Die Maskenabteilung 930 verwendet das IC-Designlayoutdiagramm 922, um eine oder mehrere Masken zur Verwendung bei der Erzeugung der verschiedenen Schichten der IC-Vorrichtung 960 gemäß dem IC-Designlayoutdiagramm 922 anzufertigen. Die Maskenabteilung 930 führt die Maskendatenvorbereitung 932 durch, bei der das IC-Designlayoutdiagramm 922 in eine entsprechende Datendatei (RDF) übersetzt wird. Die Maskendatenvorbereitung 932 stellt der Maskenanfertigung 944 die RDF bereit. Zu der Maskenanfertigung 944 gehört ein Maskenschreiber. Ein Maskenschreiber wandelt die RDF in ein Bild auf einem Substrat wie etwa eine Maske (Retikel) 945 oder einen Halbleiterwafer 953 um. Das Designlayoutdiagramm 922 wird durch die Maskendatenanfertigung 932 so bearbeitet, dass es bestimmten Eigenschaften des Maskenschreibers und/oder Anforderungen des IC-Erzeugers 950 entspricht. In 9 sind die Maskendatenvorbereitung 932 und die Maskenanfertigung 944 als gesonderte Elemente dargestellt. Bei einigen Ausführungsformen können die Maskendatenvorbereitung 932 und die Maskenanfertigung 944 gemeinsam als Maskendatenvorbereitung bezeichnet werden.
  • Bei einigen Ausführungsformen umfasst die Maskendatenvorbereitung 932 eine optische Nahbereichskorrektur (optical proximity correction, OPC), die Lithograhieverbesserungen benutzt, um Bildfehler wie etwa jene, die sich aus einer Beugung, einer Interferenz, anderen Prozessauswirkungen und dergleichen ergeben können, zu korrigieren. Die OPC reguliert das IC-Designlayoutdiagramm 922. Bei einigen Ausführungsformen umfasst die Maskendatenvorbereitung 932 ferner Auflösungsverbesserungstechniken (resolution enhancement techniques, RET) wie etwa eine außeraxiale Beleuchtung, Subauflösungs-Hilfsmerkmale, Phasenverschiebungsmasken, andere geeignete Techniken und dergleichen oder Kombinationen davon. Bei einigen Ausführungsformen wird auch eine inverse Lithographietechnologie (ILT) verwendet, die die OPC als ein inverses Abbildungsproblem behandelt.
  • Bei einigen Ausführungsformen weist die Maskendatenvorbereitung 932 einen Maskenregelprüfer (mask rule checker, MRC) auf, der das IC-Designlayoutdiagramm 922, das bei der OPC Prozessen unterzogen wurde, durch einen Satz von Maskenerzeugungsregeln, die bestimmte geometrische und/oder Konnektivitätsbeschränkungen zur Sicherstellung ausreichender Spielräume enthalten, prüft, um die Schwankungsbreite bei Halbleiterherstellungsprozessen und dergleichen zu berücksichtigen. Bei einigen Ausführungsformen wandelt der MRC das IC-Designlayoutdiagramm 922 ab, um Beschränkungen während der Maskenanfertigung 944 auszugleichen, was auch einen Teil der Abwandlungen, welche durch die OPC durchgeführt wurden, rückgängig machen kann, um die Maskenerzeugungsregeln zu erfüllen.
  • Bei einigen Ausführungsformen umfasst die Maskendatenvorbereitung 932 eine Lithographieprozessprüfung (lithography process checking, LPC), die die Verarbeitung, welche durch den IC-Erzeuger 950 zur Erzeugung der IC-Vorrichtung 960 implementiert werden wird, simuliert. Die LPC simuliert diese Verarbeitung auf Basis des IC-Designlayoutdiagramms 922, um eine simulierte hergestellte Vorrichtung wie etwa die IC-Vorrichtung 960 zu erzeugen. Die Verarbeitungsparameter bei der LPC-Simulation können Parameter, die mit verschiedenen Prozessen des IC-Herstellungszyklus verbunden sind, Parameter, die mit Werkzeugen, welche zur Herstellung der IC verwendet werden, verbunden sind, und/oder andere Aspekte des Herstellungsprozesses enthalten. Die LPC berücksichtigt verschiedene Faktoren wie etwa den Luftbildkontrast, die Tiefenschärfe (depth of focus, DOPF), den Maskenfehlerverbesserungsfaktor (mask error enhancement factor, MEEF), andere geeignete Faktoren und dergleichen oder Kombinationen davon. Bei einigen Ausführungsformen werden die OPC und/oder die MRC nach der Erzeugung einer simulierten hergestellten Vorrichtung durch die LPC wiederholt, um das IC-Designlayoutdiagramm 922 weiter zu verfeinern, wenn die Form der simulierten Vorrichtung der Erfüllung der Designregeln nicht nahe genug kommt.
  • Es sollte sich verstehen, dass die obige Beschreibung der Maskendatenvorbereitung 932 der Klarheit halber vereinfacht wurde. Bei einigen Ausführungsformen umfasst die Datenvorbereitung 932 zusätzliche Merkmale wie etwa eine logische Operation (LOP), um das IC-Designlayoutdiagramm 922 gemäß Herstellungsregeln abzuwandeln. Zudem können die Prozesse, die während der Datenvorbereitung 932 auf das IC-Designlayoutdiagramm 922 angewendet werden, in einer Vielfalt von unterschiedlichen Reihenfolgen ausgeführt werden.
  • Nach der Maskendatenvorbereitung 932 und während der Maskenanfertigung 944 wird auf Basis des abgewandelten IC-Designlayoutdiagramms 922 eine Maske 945 oder eine Gruppe von Masken 945 angefertigt. Bei einigen Ausführungsformen umfasst die Maskenanfertigung 944 das Durchführen einer oder mehrerer lithographischer Belichtungen auf Basis des IC-Designlayoutdiagramms 922. Bei einigen Ausführungsformen wird ein Elektronenstrahl (E-Strahl) oder ein Mechanismus von mehreren E-Strahlen verwendet, um auf Basis des abgewandelten IC-Designlayoutdiagramms 922 eine Struktur auf einer Maske (einer Photomaske oder einem Retikel) 945 zu bilden. Die Maske 945 kann durch verschiedene Technologien gebildet werden. Bei einigen Ausführungsformen wird die Maske 945 unter Verwendung einer binären Technologie gebildet. Bei einigen Ausführungsformen weist eine Maskenstruktur opake Bereiche und transparente Bereiche auf. Ein Bestrahlungsstrahl wie etwa ein ultravioletter (UV) oder ein EUV-Strahl, der verwendet wird, um die bildempfindliche Materialschicht (z.B. ein Photoresist), die auf einen Wafer aufgetragen wurde, zu belichten, wird durch die opaken Bereiche blockiert und durch die transparenten Bereiche übertragen. Bei einem Beispiel weist eine binäre Maskenversion der Maske 945 ein transparentes Substrat (z.B. Quarzglas) und ein opakes Material (z.B. Chrom), das in den opaken Bereichen der binären Maske aufgebracht wurde, auf. Bei einem anderen Beispiel wird die Maske 945 unter Verwendung einer Phasenverschiebungstechnologie gebildet. Bei einer Phasenverschiebungsmaskenversion (phase shift mask version, PSM-Version) der Maske 945 werden verschiedene Merkmale in der auf der Phasenverschiebungsmaske gebildeten Struktur so eingerichtet, dass sie einen angemessenen Unterschied aufweisen, um die Auflösung und die Abbildungsqualität zu verbessern. Bei verschiedenen Beispielen kann die Phasenverschiebungsmaske eine abgeschwächte PSM oder eine wechselnde PSM sein. Die Maske(n), die durch die Maskenanfertigung 944 erzeugt wird (werden), wird (werden) bei einer Vielfalt von Prozessen verwendet. Zum Beispiel kann eine (können) derartige Maske(n) bei einem Ionenimplantationsprozess zur Bildung verschiedener dotierter Bereiche in dem Halbleiterwafer 953, bei einem Ätzprozess zur Bildung verschiedener Ätzbereiche in dem Halbleiterwafer 953 und/oder bei anderen geeigneten Prozessen verwendet werden.
  • Der IC-Erzeuger 950 ist ein IC-Erzeugungsbetrieb, der eine oder mehrere Herstellungseinrichtungen zur Erzeugung einer Vielfalt von verschiedenen IC-Produkten aufweist. Bei einigen Ausführungsformen ist der IC-Erzeuger 950 eine Halbleiter-Foundry. Zum Beispiel kann eine Herstellungseinrichtung für die Front-End-Herstellung von mehreren IC-Produkten (die Front-End-of-Line-Herstellung, FEOL-Herstellung) vorhanden sein, während eine zweite Herstellungseinrichtung die Back-End-Herstellung für die Kopplung und das Packaging der IC-Produkte (eine Back-End-of-Line-Herstellung, BEOL-Herstellung) bieten kann und eine dritte Herstellungseinrichtung andere Dienste für den Foundry-Betrieb bieten kann.
  • Der IC-Erzeuger 950 weist Waferfabrikationswerkzeuge 952 auf, die so eingerichtet sind, dass sie an dem Halbleiterwafer 953 verschiedene Herstellungstätigkeiten vornehmen, damit die IC-Vorrichtung 960 gemäß der (den) Maske(n), z.B. der Maske 945, erzeugt wird. Bei verschiedenen Ausführungsformen weisen die Fabrikationswerkzeuge 950 eines oder mehrere aus einem Waferstepper, einem Ionenimplanter, einem Photoresistbeschichter, einer Prozesskammer, z.B. einer CVD-Kammer oder einem LPCVD-Ofen, einem CMP-System, einem Plasmaätzsystem, einem Waferreinigungssystem oder anderen Herstellungseinrichtungen, die einen oder mehrere wie in diesem Dokument besprochene geeignete Herstellungsprozesse durchführen können, auf.
  • Der IC-Erzeuger 950 verwendet (eine) Maske(n) 945, die durch die Maskenabteilung 930 angefertigt wurde(n), um die IC-Vorrichtung herzustellen. Daher verwendet der IC-Erzeuger 950 das IC-Designlayoutdiagramm 922 wenigstens indirekt zur Herstellung der IC-Vorrichtung 960. Bei einigen Ausführungsformen wird der Halbleiterwafer 953 von dem IC-Erzeuger 950 unter Verwendung einer (von) Maske(n) zur Bildung der IC-Vorrichtung 960 hergestellt. Bei einigen Ausführungsformen umfasst die IC-Herstellung das Durchführen einer oder mehrerer lithographischer Belichtungen, die wenigstens teilweise auf dem IC-Designlayoutdiagramm 922 beruhen. Der Halbleiterwafer 953 weist ein Siliziumsubstrat oder ein anderes geeignetes Substrat mit darauf gebildeten Materialschichten auf. Der Halbleiterwafer 953 weist ferner eines oder mehrere von verschiedenen dotierten Bereichen, dielektrischen Elementen, mehrstufigen Interconnects und dergleichen auf (die bei nachfolgenden Herstellungsschritten gebildet werden).
  • Einzelheiten im Zusammenhang mit einem IC-Herstellungssystem (z.B. dem System 900 von 9) und einem damit verbundenen IC-Herstellungsablauf finden sich z.B. in der am 9. Februar 2016 erteilten US-Patentschrift Nr. 9,256,709 , der am 1. Oktober 2015 veröffentlichten, der Erteilung vorausgehenden US-Veröffentlichung Nr. 2015/0,278,429, der am 6. Februar 2014 veröffentlichten, der Erteilung vorausgehenden US-Veröffentlichung Nr. 2014/0,040,838, und der am 21. August 2007 erteilten US-Patentschrift Nr. 7,260,442 , die hiermit durch Bezugnahme in die vorliegende Anmeldung aufgenommen werden.
  • Bei einigen Ausführungsformen weist eine IC-Struktur eine erste fortlaufende Wanne auf, die eine aus einer n-Wanne oder einer p-Wanne ist, wobei die erste fortlaufende Wanne einen ersten Wannenabschnitt, der in einer ersten Richtung verläuft, einen zweiten Wannenabschnitt, der sich in einer zu der ersten Richtung orthogonalen zweiten Richtung von dem ersten Wannenabschnitt erstreckt, und einen dritten Wannenabschnitt, der sich parallel zu dem zweiten Wannenabschnitt in der zweiten Richtung von dem ersten Wannenabschnitt erstreckt, aufweist. Bei einigen Ausführungsformen weist die IC-Struktur eine zweite fortlaufende Wanne, die die andere der n-Wanne oder der p-Wanne ist, auf, wobei der zweite fortlaufende Wannenabschnitt einen vierten Wannenabschnitt, der in der ersten Richtung verläuft, einen fünften Wannenabschnitt, der sich in einer zu der zweiten Richtung entgegengesetzten dritten Richtung von dem vierten Wannenabschnitt erstreckt, und einen sechsten Wannenabschnitt, der sich in der dritten Richtung und parallel zu dem fünften Wannenabschnitt von dem vierten Wannenabschnitt erstreckt, aufweist. Bei einigen Ausführungsformen entsprechen der zweite und der fünfte Wannenabschnitt einer ersten Reihe von IC-Vorrichtungen, und entsprechen der dritte und der sechste Wannenabschnitt einer zweiten Reihe von IC-Vorrichtungen. Bei einigen Ausführungsformen weist die IC-Struktur eine dritte fortlaufende Wanne, die einen siebenten Wannenabschnitt, der in der ersten Richtung verläuft, einen achten Wannenabschnitt, der sich in der zweiten Richtung von dem siebenten Wannenabschnitt erstreckt, und einen neunten Wannenabschnitt, der sich in der zweiten Richtung parallel zu dem achten Wannenabschnitt von dem siebenten Wannenabschnitt erstreckt, aufweist, und eine TSV-Struktur, die zwischen der dritten fortlaufenden Wanne und der ersten und der zweiten fortlaufenden Wanne positioniert ist, auf. Bei einigen Ausführungsformen sind die erste und die zweite fortlaufende Wanne, die TSV-Struktur und die dritte fortlaufende Wanne entlang der zweiten Richtung ausgerichtet. Bei einigen Ausführungsformen ist jeder aus dem vierten und dem siebenten Wannenabschnitt entlang einer Grenze einer Isolationsstruktur der TSV-Struktur positioniert. Bei einigen Ausführungsformen weist ein erster IC-Die die IC-Struktur auf, weist ein IC-Package den ersten IC-Die und einen zweiten IC-Die auf, und ist die TSV-Struktur elektrisch mit dem zweiten IC-Die verbunden. Bei einigen Ausführungsformen ist die erste fortlaufende Wanne die n-Wanne und weist eine einzelne Abnehmerstruktur auf, die elektrisch mit einer ersten Stromverteilungsstruktur verbunden ist, die so eingerichtet ist, dass sie eine Stromversorgungsspannung aufweist, und ist die zweite fortlaufende Wanne die p-Wanne und weist eine einzelnen Abnehmerstruktur auf, die elektrisch mit einer zweiten Stromverteilungsstruktur verbunden ist, die so eingerichtet ist, dass sie eine Bezugsspannung aufweist.
  • Bei einigen Ausführungsformen weist eine IC-Struktur eine erste und eine zweite TSV-Isolationsstruktur, die entlang einer ersten Richtung ausgerichtet sind, eine erste fortlaufende Wanne, die eine aus einer n-Wanne oder einer p-Wanne ist, wobei die erste fortlaufende Wanne einen ersten Wannenabschnitt neben der ersten TSV-Isolationsstruktur und mehrere zweite Wannenabschnitte, die sich in der ersten Richtung von dem ersten Wannenabschnitt erstrecken, aufweist, und eine zweite fortlaufende Wanne, die die andere der n-Wanne oder der p-Wanne ist, wobei die zweite fortlaufende Wanne einen dritten Wannenabschnitt neben der zweiten TSV-Isolationsstruktur und mehrere vierte Wannenabschnitte, die sich in einer zu der ersten Richtung entgegengesetzten zweiten Richtung von dem dritten Wannenabschnitt erstrecken, aufweist, auf, wobei die mehreren zweiten Wannenabschnitte entlang einer zu der ersten und der zweiten Richtung orthogonalen dritten Richtung mit den mehreren vierten Wannenabschnitten abwechseln. Bei einigen Ausführungsformen weist die IC-Struktur mehrere Abgriffsstrukturen auf, wobei jede Abgriffsstruktur der Mehrzahl von Abgriffsstrukturen eine erste Abnehmerstruktur, die elektrisch mit der ersten fortlaufenden Wanne und einer ersten Stromverteilungsstruktur verbunden ist, und eine zweite Abnehmerstruktur, die elektrisch mit der zweiten fortlaufenden Wanne und einer von der ersten Stromverteilungsstruktur gesonderten zweiten Stromverteilungsstruktur verbunden ist, aufweist, wobei eine Anzahl der Abgriffsstrukturen der Mehrzahl von Abgriffsstrukturen geringer als eine Anzahl der Wannenabschnitte einer jeden der Mehrzahl von zweiten Wannenabschnitten und der Mehrzahl von vierten Wannenabschnitten ist. Bei einigen Ausführungsformen weist ein Verhältnis der Anzahl der Wannenabschnitte einer jeden der Mehrzahl von zweiten Wannenabschnitten und der Mehrzahl von vierten Wannenabschnitten zu der Anzahl von Abgriffsstrukturen der Mehrzahl von Abgriffsstrukturen einen Wert auf, der von fünf bis fünfzehn reicht. Bei einigen Ausführungsformen befindet sich eine Abgriffsstruktur der Mehrzahl von Abgriffsstrukturen neben einem aus dem ersten oder dem dritten Wannenabschnitt. Bei einigen Ausführungsformen sind die erste und die zweite fortlaufende Wanne in einem ersten Die eines 3D-IC-Package enthalten, umgibt die erste TSV-Isolationsstruktur eine erste TSV-Struktur, die elektrisch mit einem zweiten Die des 3D-IC-Packages verbunden ist, und umgibt die zweite TSV-Isolationsstruktur eine zweite TSV-Struktur, die elektrisch mit dem zweiten Die verbunden ist. Bei einigen Ausführungsformen weist die IC-Struktur mehrere Reihen von IC-Vorrichtungen auf, wobei jede Reihe von IC-Vorrichtungen der mehreren Reihen von IC-Vorrichtungen einen ersten Transistor, der sich in einem zweiten Wannenabschnitt der mehreren zweiten Wannenabschnitte befindet, und einen zweiten Transistor, der sich in einem vierten Wannenabschnitt der mehreren vierten Wannenabschnitte befindet, aufweist.
  • Bei einigen Ausführungsformen umfasst ein Verfahren zum Herstellen einer IC-Struktur ein derartiges Einrichten einer jeden aus einer n-Wanne und einer p-Wanne in einem ersten IC-Die, dass sie einen ersten Abschnitt, der in einer ersten Richtung verläuft, und einen zweiten und einen dritten Abschnitt, die sich in einer zu der ersten Richtung orthogonalen zweiten Richtung von dem ersten Abschnitt erstrecken, aufweist, und ein Bilden von IC-Vorrichtungen, die eine erste Abnehmerstruktur, die elektrisch mit der n-Wanne verbunden ist, und eine zweite Abnehmerstruktur, die elektrisch mit der p-Wanne verbunden ist, aufweisen. Das Bilden der IC-Vorrichtungen umfasst ein Bilden eines PMOS-Transistors in dem zweiten oder dem dritten Abschnitt der n-Wanne und ein Bilden eines NMOS-Transistors in dem zweiten oder dem dritten Abschnitt der p-Wanne. Bei einigen Ausführungsformen umfasst das derartige Einrichten einer jeden aus der n-Wanne und der p-Wanne, dass sie den zweiten und den dritten Abschnitt, die sich in der zweiten Richtung von dem ersten Abschnitt erstrecken, aufweist, dass die zweiten und die dritten Abschnitte der n-Wanne und der p-Wanne eine ineinandergreifende Konfiguration aufweisen. Bei einigen Ausführungsformen umfasst das Einrichten der n-Wanne ein Durchführen eines Implantationsprozesses an einem p-Substrat, und umfasst das Einrichten der p-Wanne ein Einrichten eines Bereichs außerhalb der n-Wanne auf Basis des Umstands, dass er ein Abschnitt des p-Substrats ist, als p-Wanne. Bei einigen Ausführungsformen umfasst das Bilden der IC-Vorrichtungen ein Bilden einer ersten elektrischen Verbindung von der ersten Abnehmerstruktur zu einer ersten Stromverteilungsstruktur, die so eingerichtet ist, das sie eine Stromversorgungsspannung aufweist, und ein Bilden einer zweiten elektrischen Verbindung von der zweiten Abnehmerstruktur zu einer zweiten Stromversorgungsstruktur, die so eingerichtet ist, dass sie eine Bezugsspannung aufweist. Bei einigen Ausführungsformen umfasst das Verfahren ein Errichten einer TSV-Struktur in dem ersten Die neben dem ersten Abschnitt der n-Wanne oder dem ersten Abschnitt der p-Wanne. Bei einigen Ausführungsformen umfasst das Verfahren ein elektrisches Verbinden der TSV-Struktur mit einem zweiten IC-Die eines IC-Packages.
  • Ein Durchschnittsfachmann wird leicht erkennen, dass eine oder mehrere der offenbarten Ausführungsformen einen oder mehrere der oben dargelegten Vorteile erfüllen können. Nach dem Lesen der obigen Beschreibung wird ein Durchschnittsfachmann in der Lage sein, verschiedene Änderungen, Ersetzungen von Entsprechungen und verschiedene andere Ausführungsformen, die in dieser Anmeldung allgemein offenbart sind, auszuführen. Daher soll der hierauf gewährte Schutz nur durch die Definition, die in den beiliegenden Ansprüchen enthalten ist, und ihre Entsprechungen beschränkt sein.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 9256709 [0192]
    • US 7260442 [0192]

Claims (20)

  1. Integrierte Schaltungsstruktur (IC-Struktur), aufweisend: eine erste fortlaufende Wanne, die eine aus einer n-Wanne oder einer p-Wanne ist, wobei die erste fortlaufende Wanne einen ersten Wannenabschnitt, der in einer ersten Richtung verläuft; einen zweiten Wannenabschnitt, der sich in einer zu der ersten Richtung orthogonalen zweiten Richtung von dem ersten Wannenabschnitt erstreckt; und einen dritten Wannenabschnitt, der sich parallel zu dem zweiten Wannenabschnitt in der zweiten Richtung von dem ersten Wannenabschnitt erstreckt, aufweist.
  2. IC-Struktur nach Anspruch 1, ferner aufweisend: eine zweite fortlaufende Wanne, die die andere der n-Wanne oder der p-Wanne ist, wobei die zweite fortlaufende Wanne einen vierten Wannenabschnitt, der in der ersten Richtung verläuft; einen fünften Wannenabschnitt, der sich in einer zu der zweiten Richtung entgegengesetzten dritten Richtung von dem vierten Wannenabschnitt erstreckt; und einen sechsten Wannenabschnitt, der sich in der dritten Richtung und parallel zu dem fünften Wannenabschnitt von dem vierten Wannenabschnitt erstreckt, aufweist.
  3. IC-Struktur nach Anspruch 2, wobei der zweite und der fünfte Wannenabschnitt einer ersten Reihe von IC-Vorrichtungen entsprechen, und der dritte und der sechste Wannenabschnitt einer zweiten Reihe von IC-Vorrichtungen entsprechen.
  4. IC-Struktur nach Anspruch 2, ferner aufweisend: eine dritte fortlaufende Wanne, die einen siebenten Wannenabschnitt, der in der ersten Richtung verläuft; einen achten Wannenabschnitt, der sich in der zweiten Richtung von dem siebenten Wannenabschnitt erstreckt; und einen neunten Wannenabschnitt, der sich in der zweiten Richtung parallel zu dem achten Wannenabschnitt von dem siebenten Wannenabschnitt erstreckt, aufweist; und eine Silizium-Durchkontaktierungsstruktur (TSV-Struktur), die zwischen der dritten fortlaufenden Wanne und der ersten und der zweiten fortlaufenden Wanne positioniert ist.
  5. IC-Struktur nach Anspruch 4, wobei die erste und die zweite fortlaufende Wanne, die TSV-Struktur und die dritte fortlaufende Wanne entlang der zweiten Richtung ausgerichtet sind.
  6. IC-Struktur nach Anspruch 5, wobei jeder aus dem vierten und dem siebenten Wannenabschnitt entlang einer Grenze einer Isolationsstruktur der TSV-Struktur positioniert ist.
  7. IC-Struktur nach einem der Ansprüche 4 bis 6, wobei ein erster IC-Die die IC-Struktur aufweist, ein IC-Package den ersten IC-Die und einen zweiten IC-Die aufweist, und die TSV-Struktur elektrisch mit dem zweiten IC-Die verbunden ist.
  8. IC-Struktur nach einem der Ansprüche 1 bis 7, wobei die erste fortlaufende Wanne die n-Wanne ist und eine einzelne Abnehmerstruktur aufweist, die elektrisch mit einer ersten Stromverteilungsstruktur verbunden ist, die so eingerichtet ist, dass sie eine Stromversorgungsspannung aufweist, und die zweite fortlaufende Wanne die p-Wanne ist und eine einzelne Abnehmerstruktur aufweist, die elektrisch mit einer zweiten Stromverteilungsstruktur verbunden ist, die so eingerichtet ist, dass sie eine Bezugsspannung aufweist.
  9. Integrierte Schaltungsstruktur (IC-Struktur), aufweisend: eine erste und eine zweite Silizium-Durchkontaktierungsisolationsstruktur (TSV-Isolationsstruktur), die entlang einer ersten Richtung ausgerichtet sind; eine erste fortlaufende Wanne, die eine aus einer n-Wanne oder einer p-Wanne ist, wobei die erste fortlaufende Wanne einen ersten Wannenabschnitt neben der ersten TSV-Isolationsstruktur; und mehrere zweite Wannenabschnitte, die sich in der ersten Richtung von dem ersten Wannenabschnitt erstrecken, aufweist; und eine zweite fortlaufende Wanne, die die andere der n-Wanne oder der p-Wanne ist, wobei die zweite fortlaufende Wanne einen dritten Wannenabschnitt neben der zweiten TSV-Isolationsstruktur; und mehrere vierte Wannenabschnitte, die sich in einer zu der ersten Richtung entgegengesetzten zweiten Richtung von dem dritten Wannenabschnitt erstrecken, aufweist, wobei die mehreren zweiten Wannenabschnitte entlang einer zu der ersten und der zweiten Richtung orthogonalen dritten Richtung mit den mehreren vierten Wannenabschnitten abwechseln.
  10. IC-Struktur nach Anspruch 9, ferner aufweisend mehrere Abgriffsstrukturen, wobei jede Abgriffsstruktur der Mehrzahl von Abgriffsstrukturen eine erste Abnehmerstruktur, die elektrisch mit der ersten fortlaufenden Wanne und einer ersten Stromverteilungsstruktur verbunden ist; und eine zweite Abnehmerstruktur, die elektrisch mit der zweiten fortlaufenden Wanne und einer von der ersten Stromverteilungsstruktur gesonderten zweiten Stromverteilungsstruktur verbunden ist, aufweist, und eine Anzahl der Abgriffsstrukturen der Mehrzahl von Abgriffsstrukturen geringer als eine Anzahl der Wannenabschnitte einer jeden der Mehrzahl von zweiten Wannenabschnitten und der Mehrzahl von vierten Wannenabschnitten ist.
  11. IC-Struktur nach Anspruch 10, wobei ein Verhältnis der Anzahl der Wannenabschnitte einer jeden der Mehrzahl von zweiten Wannenabschnitten und der Mehrzahl von vierten Wannenabschnitten zu der Anzahl von Abgriffsstrukturen der Mehrzahl von Abgriffsstrukturen einen Wert aufweist, der von fünf bis fünfzehn reicht.
  12. IC-Struktur nach Anspruch 10 oder 11, wobei sich eine Abgriffsstruktur der Mehrzahl von Abgriffsstrukturen neben einem aus dem ersten oder dem dritten Wannenabschnitt befindet.
  13. IC-Struktur nach einem der Ansprüche 9 bis 12, wobei die erste und die zweite fortlaufende Wanne in einem ersten Die eines 3D-IC-Package enthalten sind, die erste TSV-Isolationsstruktur eine erste TSV-Struktur, die elektrisch mit einem zweiten Die des 3D-IC-Packages verbunden ist, umgibt, und die zweite TSV-Isolationsstruktur eine zweite TSV-Struktur, die elektrisch mit dem zweiten Die verbunden ist, umgibt.
  14. IC-Struktur nach einem der Ansprüche 9 bis 13, ferner aufweisend mehrere Reihen von IC-Vorrichtungen, wobei jede Reihe von IC-Vorrichtungen der mehreren Reihen von IC-Vorrichtungen einen ersten Transistor, der sich in einem zweiten Wannenabschnitt der mehreren zweiten Wannenabschnitte befindet; und einen zweiten Transistor, der sich in einem vierten Wannenabschnitt der mehreren vierten Wannenabschnitte befindet, aufweist.
  15. Verfahren zum Herstellen einer integrierten Schaltungsstruktur (IC-Struktur), wobei das Verfahren ein derartiges Einrichten einer jeden aus einer n-Wanne und einer p-Wanne in einem ersten IC-Die, dass sie einen ersten Abschnitt, der in einer ersten Richtung verläuft, und einen zweiten und einen dritten Abschnitt, die sich in einer zu der ersten Richtung orthogonalen zweiten Richtung von dem ersten Abschnitt erstrecken, aufweist; und ein Bilden von IC-Vorrichtungen, die eine erste Abnehmerstruktur, die elektrisch mit der n-Wanne verbunden ist, und eine zweite Abnehmerstruktur, die elektrisch mit der p-Wanne verbunden ist, aufweisen, umfasst, wobei das Bilden der IC-Vorrichtungen ein Bilden eines PMOS-Transistors in dem zweiten oder dem dritten Abschnitt der n-Wanne und ein Bilden eines NMOS-Transistors in dem zweiten oder dem dritten Abschnitt der p-Wanne umfasst.
  16. Verfahren nach Anspruch 15, wobei das derartige Einrichten einer jeden aus der n-Wanne und der p-Wanne, dass sie den zweiten und den dritten Abschnitt, die sich in der zweiten Richtung von dem ersten Abschnitt erstrecken, aufweist, umfasst, dass die zweiten und die dritten Abschnitte der n-Wanne und der p-Wanne eine ineinandergreifende Konfiguration aufweisen.
  17. Verfahren nach Anspruch 15 oder 16, wobei das Einrichten der n-Wanne ein Durchführen eines Implantationsprozesses an einem p-Substrat umfasst, und das Einrichten der p-Wanne ein Einrichten eines Bereichs außerhalb der n-Wanne auf Basis des Umstands, dass er ein Abschnitt des p-Substrats ist, als p-Wanne umfasst.
  18. Verfahren nach einem der Ansprüche 15 bis 17, wobei das Bilden der IC-Vorrichtungen ein Bilden einer ersten elektrischen Verbindung von der ersten Abnehmerstruktur zu einer ersten Stromverteilungsstruktur, die so eingerichtet ist, das sie eine Stromversorgungsspannung aufweist; und ein Bilden einer zweiten elektrischen Verbindung von der zweiten Abnehmerstruktur zu einer zweiten Stromversorgungsstruktur, die so eingerichtet ist, dass sie eine Bezugsspannung aufweist, umfasst.
  19. Verfahren nach einem der Ansprüche 15 bis 18. ferner umfassend ein Errichten einer Silizium-Durchkontaktierungsstruktur (TSV-Struktur) in dem ersten Die neben dem ersten Abschnitt der n-Wanne oder dem ersten Abschnitt der p-Wanne.
  20. Verfahren nach Anspruch 19, ferner umfassend ein elektrisches Verbinden der TSV-Struktur mit einem zweiten IC-Die eines IC-Packages.
DE102022100707.3A 2021-06-09 2022-01-13 Gemeinsam verwendete wannenstruktur, layout und verfahren Pending DE102022100707A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
CN202110641540.8 2021-06-09
CN202110641540.8A CN115224028A (zh) 2021-06-09 2021-06-09 共用阱结构、布局和方法
US17/527,883 US11876088B2 (en) 2021-06-09 2021-11-16 Shared well structure, layout, and method
US17/527,883 2021-11-16

Publications (1)

Publication Number Publication Date
DE102022100707A1 true DE102022100707A1 (de) 2022-12-15

Family

ID=84192711

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022100707.3A Pending DE102022100707A1 (de) 2021-06-09 2022-01-13 Gemeinsam verwendete wannenstruktur, layout und verfahren

Country Status (3)

Country Link
US (1) US20240088128A1 (de)
KR (1) KR20220166177A (de)
DE (1) DE102022100707A1 (de)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning

Also Published As

Publication number Publication date
US20240088128A1 (en) 2024-03-14
KR20220166177A (ko) 2022-12-16

Similar Documents

Publication Publication Date Title
DE102019101570B4 (de) Layout, struktur, system und verfahren eines integrierten schaltkreises
DE102019128996A1 (de) Integriertes schaltungsfinnenbelegungsverfahren, system und struktur
DE102017118336A1 (de) Standardzellen-layout, halbleiter-bauelement mit technische-änderungsanweisungs(eco)-zellen und verfahren
DE102019121157B4 (de) Transfer-gate-struktur, layout, verfahren und system
DE102021110387A1 (de) Integrierte schaltungsanlage und verfahren
DE102019103481A1 (de) Durchkontaktierungsgrössen zur reduzierung der brückenbildungsgefahr und zur verbesserung der leistung
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102019116952A1 (de) Integrierte-schaltkreis-struktur, layout-schaubild-verfahren und system
DE102020119280A1 (de) Platzbedarf für multi-bit-flip-flop
DE102020127331B4 (de) Integrierte Schaltung und Verfahren zur Herstellung einer integrierten Schaltung
DE102019125900B4 (de) Metallschnittgebiet-positionierungsverfahren und system
DE102023100725A1 (de) Ic-logikbauteil, layout, system und verfahren
DE102021106180A1 (de) Integrierter schaltkreis, system, und verfahren zu seiner herstellung
DE102020109326A1 (de) Ic-vorrichtung, verfahren, layout und system
DE102021100870B4 (de) Hybridschicht-layout, -verfahren, -system und -struktur
DE102019125461A1 (de) Halbleiterstruktur, vorrichtung und verfahren
DE102021119369B4 (de) Pegelverschiebungsschaltkreis und verfahren
DE102020115617A1 (de) Integrierte schaltung
DE102021105450B4 (de) Integrierte Schaltungsvorrichtung, System und Verfahren
DE102022110640A1 (de) Integrierte schaltung und herstellungsverfahren davon
DE102022100707A1 (de) Gemeinsam verwendete wannenstruktur, layout und verfahren
DE102021100627A1 (de) Integrierte schaltungsvorrichtung und verfahren
DE102021108836A1 (de) Halbleiterbauelement mit v2v-schiene und herstellungsverfahren davon
DE102019121271A1 (de) ESD-Schutzschaltung, Halbleitersystem, das diese aufweist, und Verfahren zum Betreiben derselben
DE102021107795A1 (de) Speichermakro mit silizium-durchkontaktierung

Legal Events

Date Code Title Description
R012 Request for examination validly filed