DE102021113564A1 - Bildsensor und herstellungsverfahren dafür - Google Patents

Bildsensor und herstellungsverfahren dafür Download PDF

Info

Publication number
DE102021113564A1
DE102021113564A1 DE102021113564.8A DE102021113564A DE102021113564A1 DE 102021113564 A1 DE102021113564 A1 DE 102021113564A1 DE 102021113564 A DE102021113564 A DE 102021113564A DE 102021113564 A1 DE102021113564 A1 DE 102021113564A1
Authority
DE
Germany
Prior art keywords
conductive
image sensor
substrate
openings
grid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021113564.8A
Other languages
English (en)
Inventor
Min-Feng KAO
Dun-Nian Yaung
Jen-Cheng Liu
Wen-Chang Kuo
Sheng-Chau Chen
Feng-Chi Hung
Sheng-Chan Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021113564A1 publication Critical patent/DE102021113564A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14623Optical shielding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14689MOS based technologies

Landscapes

  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Element Separation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Light Receiving Elements (AREA)

Abstract

Ein Bildsensor weist ein Pixel und eine Isolationsstruktur auf. Das Pixel weist ein fotosensitives Gebiet und ein Schaltkreisgebiet neben dem fotosensitiven Gebiet auf. Die Isolationsstruktur liegt über dem Pixel, wobei die Isolationsstruktur ein leitfähiges Gitter und eine dielektrische Struktur, die eine Seitenwand des leitfähigen Gitters abdeckt, aufweist und die Isolationsstruktur eine Öffnung oder Vertiefung aufweist, die das fotosensitive Gebiet überlappt. Die Isolationsstruktur umgibt ein peripheres Gebiet des fotosensitiven Gebiets.

Description

  • VERWEIS AUF VERWANDTE ANMELDUNG
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/137,871 , eingereicht am 15. Januar 2021, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • HINTERGRUND
  • Halbleiterbildsensoren werden verwendet, um Strahlung wie Licht zu erfassen. Komplementäre Metalloxidhalbleiter-Bildsensoren (CMOS-Bildsensoren) und ladungsgekoppelte Bauelementsensoren (CCD-Sensoren) werden weitverbreitet in verschiedenen Anwendungen wie Digitalfotokamera- oder Mobiltelefonkameraanwendungen verwendet. Diese Sensoren nutzen ein Array von Pixeln in einem Substrat, das Fotodioden und Transistoren aufweist, die Strahlung absorbieren können, die zu dem Substrat projiziert wird, und die erfasste Strahlung in elektrische Signale umwandeln können.
  • Mit Weiterentwicklung der Technologien gewinnen CMOS-Bildsensoren (CIS) aufgrund gewisser Vorteile, die den CMOS-Bildsensoren eigen sind, an Beliebtheit gegenüber CCDs. Insbesondere kann ein CMOS-Bildsensor eine hohe Bildbeschaffungsrate, eine niedrige Betriebsspannung, niedrigen Leistungsverbrauch und höhere Rauschimmunität aufweisen und Direktzugriff erlauben. Zusätzlich können CMOS-Bildsensoren auf denselben Großvolumen-Waferverarbeitungslinien gefertigt werden wie Logik- und Speicherbauelemente.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Strukturelemente nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Strukturelemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 ist ein Blockdiagramm einer Halbleiterstruktur, die einen Bildsensor aufweist, der Pixelspalten, die mit einem Schaltkreis verbunden sind, in einem (Halbleiter-) Bildsensor-Die enthält, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung.
    • 2 bis 3 sind schematische Diagramme, die einen Bildsensor veranschaulichen, der Pixelspalten, die mit einem Schaltkreis verbunden sind, in einem (Halbleiter-) Bildsensor-Die enthält, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung.
    • 4, 6, 7, 9, 11, 13, 15, 17, 19, 21, 23 und 24 sind schematische vertikale (oder Querschnitt-) Ansichten, die ein Herstellungsverfahren eines Bildsensors zeigen, in einem (Halbleiter-) Bildsensor-Die gemäß manchen Ausführungsformen der Offenbarung.
    • 5, 8, 10, 12, 14, 16, 18, 20 und 22 sind schematische horizontale (oder ebene) Ansichten, die eine relative Position von Komponenten veranschaulichen, die in dem Bildsensor aufgewiesen sind, der in 4, 7, 9, 11, 13, 15, 17, 19 und 21 abgebildet ist.
    • 25 ist eine schematische vertikale (oder Querschnitt-) Ansicht, die einen Bildsensor in einem (Halbleiter-) Bildsensor-Die zeigt, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung.
    • 26 und 27 sind schematische vertikale (oder Querschnitt-) und horizontale (oder ebene) Ansichten, die einen Bildsensor in einem (Halbleiter-) Bildsensor-Die zeigen, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung.
    • 28 ist eine schematische vertikale (oder Querschnitt-) Ansicht, die einen Bildsensor in einem (Halbleiter-) Bildsensor-Die zeigt, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung.
    • 29, 31, 33, 35, 37 und 38 sind schematische vertikale (oder Querschnitt-) Ansichten, die ein Herstellungsverfahren eines Bildsensors in einem (Halbleiter-) Bildsensor-Die zeigen, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung.
    • 30, 32, 34 und 36 sind schematische horizontale (oder ebene) Ansichten, die eine relative Position von Komponenten veranschaulichen, die in dem in 29, 31, 33 und 35 abgebildeten Bildsensor aufgewiesen sind.
    • 39 ist eine schematische vertikale (oder Querschnitt-) Ansicht, die einen Bildsensor in einem (Halbleiter-) Bildsensor-Die zeigt, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung.
    • 40 und 41 sind schematische vertikale (oder Querschnitt-) und horizontale (oder ebene) Ansichten, die einen Bildsensor in einem (Halbleiter-) Bildsensor-Die zeigen, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung.
    • 42 ist eine schematische vertikale (oder Querschnitt-) Ansicht, die einen Bildsensor in einem (Halbleiter-) Bildsensor-Die zeigt, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung.
    • 43, 45, 47 und 49 sind schematische vertikale (oder Querschnitt-) Ansichten, die ein Herstellungsverfahren eines Bildsensors in einem (Halbleiter-) Bildsensor-Die zeigen, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung.
    • 44, 46, 48 und 50 sind schematische horizontale (oder ebene) Ansichten, die eine relative Position von Komponenten veranschaulichen, die in dem in 43, 45, 47 und 49 abgebildeten Bildsensor aufgewiesen sind.
    • 51 ist eine schematische vertikale (oder Querschnitt-) Ansicht, die einen Bildsensor in einem (Halbleiter-) Bildsensor-Die zeigt, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung.
    • 52 und 53 sind schematische vertikale (oder Querschnitt-) und horizontale (oder ebene) Ansichten, die einen Bildsensor in einem (Halbleiter-) Bildsensor-Die zeigen, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung.
    • 54 ist eine schematische vertikale (oder Querschnitt-) Ansicht, die einen Bildsensor in einem (Halbleiter-) Bildsensor-Die zeigt, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung.
    • 55 bis 58 sind schematische vertikale (oder Querschnitt-) Ansichten, die verschiedene Ausführungsformen eines Bildsensors in einem (Halbleiter-) Bildsensor-Die zeigen, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung.
    • 59 bis 62 sind schematische vergrößerte und schematische vertikale (oder Querschnitt-) Ansichten, die verschiedene Ausführungsformen eines Bondings zwischen einer leitfähigen Struktur und einem Dotierungsgebiet eines Bildsensors in strichlierten Bereichen C, D, E und F zeigen, die in 49, 51, 52, 54 und 55 bis 58 umrissen sind.
    • 63, 65, 67, 69, 71, 73 und 75 sind schematische vertikale (oder Querschnitt-) Ansichten, die ein Herstellungsverfahren eines Bildsensors in einem (Halbleiter-) Bildsensor-Die zeigen, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung.
    • 64, 66, 68A, 68B, 70A, 70B, 72A, 72B und 74 sind schematische horizontale (oder ebene) Ansichten, die eine relative Position von Komponenten veranschaulichen, die in dem in 63, 65, 67, 69, 71 und 73 abgebildeten Bildsensor aufgewiesen sind.
    • 76 ist eine schematische vertikale (oder Querschnitt-) Ansicht, die einen Bildsensor in einem (Halbleiter-) Bildsensor-Die zeigt, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung.
    • 77 bis 79 stellen Ablaufdiagramme bereit, die verschiedene Herstellungsverfahren eines Bildsensors in einem (Halbleiter-) Bildsensor-Die veranschaulichen, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Strukturelemente des bereitgestellten Gegenstands bereit. Spezifische Beispiele von Komponenten, Werten, Operationen, Materialien, Anordnungen oder dergleichen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich bloß Beispiele und nicht beabsichtigt begrenzend zu sein. Es werden andere Komponenten, Werte, Operationen, Materialien, Anordnungen oder dergleichen in Betracht gezogen. Zum Beispiel kann die Bildung eines ersten Strukturelements über oder auf einem zweiten Strukturelement in der folgenden Beschreibung Ausführungsformen enthalten, in denen das erste und das zweite Strukturelement in direktem Kontakt gebildet sind und kann auch Ausführungsformen enthalten, in denen zusätzliche Strukturelemente zwischen dem ersten und dem zweiten Strukturelement gebildet sein können, sodass das erste und das zweite Strukturelement nicht in direktem Kontakt sein könnten. Zusätzlich kann die vorliegende Offenbarung Referenznummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit und gibt selbst keine Beziehung zwischen den unterschiedlichen besprochenen Ausführungsformen und/oder Konfigurationen vor.
  • Weiter können räumlich relative Ausdrücke wie „unterliegend“, „unterhalb“, „unter“, „überliegend“, „ober“ und dergleichen hierin zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Strukturelements zu (einem) anderen Element(en) oder Strukturelement(en) wie in den Figuren veranschaulicht zu beschreiben. Die räumlich relativen Ausdrücke sind beabsichtigt, verschiedene Ausrichtungen des Bauelements in Verwendung oder Betrieb zusätzlich zu der in den Figuren abgebildeten Ausrichtung zu umschließen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder bei anderen Ausrichtungen) und die hierin verwendeten räumlich relativen Beschreibungsausdrücke können ebenso entsprechend ausgelegt werden.
  • Zusätzlich können Ausdrücke wie „erstes“, „zweites“, „drittes“ und dergleichen hierin zur Erleichterung der Beschreibung verwendet werden, um ähnliche oder unterschiedliche Element(e) oder Strukturelement(e) wie in den Figuren veranschaulicht zu beschreiben und können austauschbar verwendet werden, abhängig von der Reihenfolge des Aufscheinens oder der Kontexte der Beschreibung.
  • Ein CMOS-Bildsensor weist ein Array von lichtempfindlichen Bildelementen (Pixeln) auf, wobei jedes Transistoren, Kondensatoren und ein fotosensitives Element aufweisen kann. Ein CMOS-Bildsensor nutzt einen lichtempfindlichen CMOS-Schaltkreis, um Photonen in Elektronen umzuwandeln. Der lichtempfindliche CMOS-Schaltkreis weist eine Fotodiode in einem Substrat gebildet auf. Wenn die Fotodiode mit Licht belichtet wird, werden elektrische Ladungen in der Fotodiode induziert. Jedes Pixel kann Elektronen gemäß der Lichtmenge erzeugen, die auf das Pixel fällt, wenn Licht auf das Pixel von einer Subjektszene einfällt. Darüber hinaus werden die Elektronen in ein Spannungssignal in dem Pixel umgewandelt und weiter mittels eines A/D-Wandlers in ein digitales Signal transformiert. Eine Mehrzahl von peripheren Schaltkreisen kann die digitalen Signale empfangen und sie verarbeiten, um ein Bild der Subjektszene anzuzeigen. Als ein Resultat kann ein CMOS-Bildsensorbauelement (z.B. ein Halbleiterchip oder Die, der mit CMOS-Bildsensor(en) ausgestattet ist) sowohl Bildsensoren als auch beliebige notwendige Logik, wie Verstärker, A/D-Wandler oder dergleichen, aufweisen.
  • Ein CMOS-Bildsensor kann eine Mehrzahl von zusätzlichen Schichten aufweisen, wie dielektrische Schichten und Interconnect-Metallschichten, die auf dem Substrat gebildet sind, wobei die Interconnect-Schichten verwendet werden, um die Fotodiode mit peripheren Schaltkreisen zu koppeln. Die Seite, die zusätzliche Schichten des CMOS-Bildsensors aufweist, wird für gewöhnlich als eine Vorderseite bezeichnet, während die Seite, die das Substrat aufweist, als eine Rückseite bezeichnet wird. Abhängig von dem Lichtpfadunterschied können CMOS-Bildsensoren weiter in zwei Hauptkategorien unterteilt werden, nämlich vorderseitenbeleuchtete Bildsensoren (FSI-Bildsensoren) und rückseitenbeleuchtete Bildsensoren (BSI-Bildsensoren).
  • In Anbetracht des Voranstehenden werden ein Bildsensor und ein Herstellungsverfahren dafür in Übereinstimmung mit verschiedenen beispielhaften Ausführungsformen bereitgestellt. Bevor die veranschaulichten Ausführungsformen spezifisch adressiert werden, werden gewisse vorteilhafte Strukturelemente und Aspekte der vorliegenden offenbarten Ausführungsformen im Allgemeinen adressiert. Der Bildsensor ist mit einer Isolationsstruktur ausgestattet, die ein leitfähiges Gitter aufweist, das übernommen werden kann, um Quanteneffizienz (QE) zu fördern und Übersprechen (Xtalk) zu unterdrücken, um die Arbeitsleistung des Bildsensors zu verbessern. Unten wird ein Bildsensor mit einer IC (Integrated Circuit) beschrieben, der ein Halbleitersubstrat gemeinsam mit einem darüberliegenden Interconnect, darin liegende Fotodioden und einer Isolationsstruktur, die ein leitfähiges Gitter aufweist, das über dem Substrat liegt und die Fotodioden umgibt, aufweist, wobei das leitfähige Gitter und das Interconnect an zwei gegenüberliegenden Seiten des Halbleitersubstrats angeordnet sind und elektrisch miteinander verbunden sind. Außerdem sind Farbfilter und Mikrolinsen weiter über dem leitfähigen Gitter angeordnet und mit den Fotodioden überlappt. Das leitfähige Gitter ist im Stande, Licht zu reflektieren, um sicherzustellen, dass das einfallende Licht, das in ein Pixel gelangt, frei von anderen daran angrenzenden Pixeln ist, sodass Isolationen unter den angrenzenden Pixel bereitgestellt werden, was potenzielles Übersprechen zwischen ihnen unterdrückt. Zusätzlich kann aufgrund dessen, dass das leitfähige Gitter einen hohen Reflexionsindex aufweist, eine Lichtmenge, die auf die Pixel fällt, wenn das Licht auf das Pixel einfällt, erhöht werden, indem das Licht (das auf das leitfähige Gitter trifft) zurück zu dem Pixel reflektiert wird, was die Quanteneffizienz der Pixel erhöht. Mit einem solchen leitfähigen Gitter wird die Arbeitsleistung des Bildsensors verbessert. Die Zwischenstufen zum Bilden des Bildsensors mit dem leitfähigen Gitter werden in Übereinstimmung mit manchen Ausführungsformen veranschaulicht. Manche Variationen mancher Ausführungsformen werden besprochen. Über die verschiedenen Ansichten und veranschaulichten Ausführungsformen hinweg werden ähnliche Bezugsnummern verwendet, um ähnliche Elemente zu bezeichnen.
  • 1 ist ein Blockdiagramm einer Halbleiterstruktur (wie ein (Halbleiter-) Bildsensorbauelement, Die oder Chip), die einen Bildsensor aufweist, der Pixelspalten, die mit einem Schaltkreis verbunden sind, in einem (Halbleiter-) Bildsensor-Die aufweist, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung.
  • In Bezug auf 1 wird in manchen Ausführungsformen eine beispielhafte Funktion eines Bildsensor-Dies, der einen Bildsensor 10 und eine IC 20 aufweist, veranschaulicht. In manchen Ausführungsformen weist der Bildsensor 10 ein Gitter oder Array von Pixeln 11 auf. Die Pixel 11 können in einer Matrixform angeordnet sein, wie N□N oder N□M Arrays (N, M >o, N kann oder kann nicht gleich M sein). Die Größe des Arrays für diese Pixel 11 kann basierend auf dem Bedarf bezeichnet und ausgewählt werden und ist nicht auf die Offenbarung begrenzt. Zum Beispiel sind die Pixel 11 in einem 5×5 Array angeordnet, das in 1 abgebildet ist. Die Pixel 11 können in der Offenbarung als Sensorelemente bezeichnet werden. Jede Spalte der Pixel 11 in dem Bildsensor 10 kann sich eine Zwischenverbindung oder eine Zwischenmetallleitung 13 teilen, die elektrisch mit der IC 20 verbunden ist, um Pixelausgänge an die IC 20 zu übertragen. Zum Beispiel erzeugt der Bildsensor 10 ein Spannungssignal in jedem Pixel 11, das weiter in ein digitales Signal transformiert wird, um von der IC 20 verarbeitet zu werden.
  • In manchen Ausführungsformen weist die IC 20 eine Ausleseschaltkreiskomponente 21, eine Signalverarbeitungsschaltkreiskomponente 22 und eine Ausgangsschaltkreiskomponente 23 auf. Die Signale aus dem Array der Pixel 11 werden von der Ausleseschaltkreiskomponente 21 gelesen. Die Auslesesignale von der Ausleseschaltkreiskomponente 21 werden von einer Signalverarbeitungsschaltkreiskomponente 22 verarbeitet. Die verarbeiteten Signale von der Signalverarbeitungsschaltkreiskomponente 22 erzeugen den Ausgang für die Bildsensoranwendung, der von der Ausgangsschaltkreiskomponente 23 erledigt wird. Zusätzliche oder weniger Schaltkreiskomponenten können in der IC 20 basierend auf dem Bedarf und dem Layoutdesign aufgewiesen sein, wobei die Offenbarung nicht darauf begrenzt ist.
  • In manchen Ausführungsformen sind andere Schaltungen wie der Zugriffsschaltkreis 12 auf dem Bildsensor 10 gebildet, auch um die Pixel während des Betriebs zu aktivieren. Zum Beispiel weist der Zugriffsschaltkreis 12 einen Rolling-Shutter-Schaltkreis oder einen Global-Shutter-Schaltkreis auf. In alternativen Ausführungsformen kann der Zugriffsschaltkreis 12 in jedes der Pixel 11 integriert sein.
  • 2 bis 3 sind schematische Ansichten, die einen Bildsensor, der Pixelspalten aufweist, die mit einem Schaltkreis verbunden sind, in einem (Halbleiter-) Bildsensor-Die veranschaulicht, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung. In 2 und 3 ist zur Einfachheit nur eines der in dem Bildsensor 10 aufgewiesenen Pixel 11 zur Darstellung veranschaulicht. In Bezug auf 2 weist in manchen Ausführungsformen das Pixel 11 ein fotosensitives Bauelement PD, einen ersten Transfergate-Transistor TG1, ein Speicherbauelement SD und eine Treiberschaltung DC auf und ist elektrisch mit dem Shutter-Gate-Transistor SHG verbunden, der in dem Zugriffsschaltkreis 12 aufgewiesen ist.
  • In manchen Ausführungsformen wird das fotosensitive Bauelement PD von einem P-N-Übergang begründet, der von einem ersten dotierten Gebiet 102a und einem zweiten dotierten Gebiet 104a gebildet wird. In manchen Ausführungsformen ist das erste dotierte Gebiet 102a mit n-Dotierstoffen dotiert, während das zweite dotierte Gebiet 104a mit p-Dotierstoffen dotiert ist. Jedoch stellt es keine Begrenzung der Offenbarung dar. Abhängig von der Leitfähigkeit eines Halbleitersubstrats zum Errichten des Bildsensors 10, können die Dotierstoffe in dem ersten dotierten Gebiet 102a und dem zweiten dotierten Gebiet 104a ausgetauscht werden. Bei Bestrahlung eines einfallenden Lichts ist das fotosensitive Bauelement PD fähig, Bildladungen in Antwort auf das einfallende Licht zu sammeln. Zum Beispiel weist das fotosensitive Bauelement PD eine Fotodiode auf. Es sollte angemerkt werden, dass Fotodiode bloß als eine beispielhafte Veranschaulichung des fotosensitiven Bauelements PD dient und die Offenbarung nicht darauf begrenzt ist. Andere geeignete fotosensitive Bauelemente können übernommen werden, solange dieses Bauelement im Stande ist, Bildladungen bei Bestrahlung mit einfallendem Licht anzusammeln. Zum Beispiel kann das fotosensitive Bauelement PD ein Speicherbauelement mit einem Ladungsspeicher aufweisen.
  • In manchen Ausführungsformen ist der Shutter-Gate-Transistor SHG mit dem fotosensitiven Bauelement PD gekoppelt. Zum Beispiel ist eine Source oder ein Drain des Shutter-Gate-Transistors SHG mit Spannung Vaa gekoppelt, um die Bildladungen, die in dem fotosensitiven Bauelement PD angesammelt sind, selektiv zu verarmen. In manchen Ausführungsformen liegt der erste Transfergate-Transistor TG1 zwischen dem fotosensitiven Bauelement PD und dem Speicherbauelement SD. In manchen Ausführungsformen ist der erste Transfergate-Transistor TG1 im Stande, den Transfer der Bildladungen, die in dem fotosensitiven Bauelement PD angesammelt sind, zu dem Speicherbauelement SD zu steuern. Zum Beispiel ist während Betriebs des Bildsensors 10 der erste Transfergate-Transistor TG1 im Stande, ein Transfersignal zu empfangen, und führt Transfer der Bildladungen, die in dem fotosensitiven Bauelement PD angesammelt sind, zu dem Speicherbauelement SD basierend auf dem Transfersignal durch.
  • In manchen Ausführungsformen ist das Speicherbauelement SD mit dem ersten Transfergate-Transistor TG1 und dem fotosensitiven Bauelement PD gekoppelt, um die Bildladungen, die in dem fotosensitiven Bauelement PD angesammelt sind, zu empfangen und die empfangenen Bildladungen in dem Verarmungsgebiet zu speichern. Wie in 2 veranschaulicht, kann das Speicherbauelement SD an das fotosensitive Bauelement PD angrenzen. In manchen Ausführungsformen weist das Speicherbauelement SD ein erstes dotiertes Gebiet 102b, ein zweites dotiertes Gebiet 104b und eine Speicher-Gate-Elektrode SG auf. In manchen Ausführungsformen sind die Bildladungen in dem ersten dotierten Gebiet 102b, dem zweiten dotierten Gebiet 104b und dem Halbleitersubstrat (zum Beispiel einem in 4 bis 24 veranschaulichten Halbleitersubstrat 100a/100) unterhalb des zweiten dotierten Gebiets 104b gespeichert. Das erste dotierte Gebiet 102b des Speicherbauelements SD und das erste dotierte Gebiet 102a des fotosensitiven Bauelements PD können gleichzeitig von demselben Schritt gebildet werden. Ähnlich können auch das zweite dotierte Gebiet 104b des Speicherbauelements SD und das zweite dotierte Gebiet 104a des fotosensitiven Bauelements PD gleichzeitig von demselben Schritt gebildet werden. Jedoch ist die Offenbarung nicht darauf begrenzt. In manchen alternativen Ausführungsformen können die ersten dotierten Gebiete 102a, 102b und die zweiten dotierten Gebiete 104a, 104b individuell von unterschiedlichen Schritten gebildet werden. Die Struktur des fotosensitiven Bauelements PD wird später in Verbindung mit 4 bis 24 detaillierter besprochen.
  • In manchen Ausführungsformen ist die Treiberschaltung DC angrenzend an das Speicherbauelement SD angeordnet. Die Treiberschaltung DC weist einen zweiten Transfergate-Transistor TG2, eine potenzialfreie Diffusion FD, einen Rückstelltransistor RST, einen Source-Folgetransistor SF und einen Reihenauswahltransistor RS auf. In manchen Ausführungsformen ist der zweite Transfergate-Transistor TG2 mit einem Ausgang des Speicherbauelements SD gekoppelt. Ähnlich dem ersten Transfergate-Transistor TG1 stellt der zweite Transfergate-Transistor TG2 auch die Funktion bereit, selektiv die Bildladungen, die in dem Speicherbauelement SD angesammelt sind, an die potenzialfreie Diffusion FD zu transferieren. In manchen Ausführungsformen können der zweite Transfergate-Transistor TG2 und die Speichergate-Elektrode SG zusammenarbeiten, um die Bildladungen, die in dem Speicherbauelement SD gespeichert sind, an die potenzialfreie Diffusion FD zu transferieren. Zum Beispiel kann eine Vorspannung an die Speichergate-Elektrode SG und ein Gate des zweiten Transfergate-Transistors TG2 angelegt werden, um ein elektrisches Feld zu erzeugen, sodass ein Kanal zur Bewegung der Ladungen erzeugt wird. In manchen Ausführungsformen werden aufgrund des erzeugten elektrischen Felds die Ladungen, die in dem ersten dotierten Gebiet 102b, dem zweiten dotierten Gebiet 104b und dem Halbleitersubstrat unterhalb des zweiten dotierten Gebiets 104b gespeichert sind, aus dem ersten dotierten Gebiet 102b und dem zweiten dotierten Gebiet 104b gezogen, um in einen Kanal des zweiten Transfergate-Transistors TG2 zu gelangen, der an das Speicherbauelement SD angrenzt. Danach können sich diese Ladungen durch den Kanal des zweiten Transfergate-Transistors TG2 bewegen, um bei der potenzialfreien Diffusion FD anzukommen. In manchen Ausführungsformen kann ein Drain des zweiten Transfergate-Transistors TG2 als ein Drain für das Speicherbauelement SD dienen.
  • In manchen Ausführungsformen wird die potenzialfreie Diffusion FD als ein Ausleseknoten bezeichnet. Die potenzialfreie Diffusion FD ist zum Beispiel ein leichtdotiertes n-Gebiet, das mindestens teilweise innerhalb einer p-Wanne gebildet ist. In manchen Ausführungsformen kann die potenzialfreie Diffusion FD als ein Kondensator dienen, um die Bildladungen zu speichern.
  • Wie in 2 veranschaulicht, ist in manchen Ausführungsformen der Rückstelltransistor RST mit der potenzialfreien Diffusion FD und Spannung Vpix gekoppelt, um selektiv die Bildladungen in der potenzialfreien Diffusion FD zurückzustellen. Zum Beispiel kann der Rückstelltransistor RST die potenzialfreie Diffusion FD in Antwort auf ein Rückstellsignal auf eine voreingestellte Spannung entladen oder laden. In manchen Ausführungsformen ist der Source-Folgetransistor SF mit der potenzialfreien Diffusion FD und Spannung Vaa gekoppelt. Zum Beispiel ist der Source-Folgetransistor SF im Stande, Hochimpedanzausgang bereitzustellen. Der Source-Folgetransistor SF kann ein Verstärkertransistor sein, der das Signal der potenzialfreien Diffusion FD für Auslesebetrieb verstärken kann. In manchen Ausführungsformen ist der Reihenauswahltransistor RS mit einer Auslesespaltenleitung (z.B. die Zwischenverbindung oder eine Zwischenmetallleitung 13) gekoppelt, um selektiv die Bilddaten Pixout auszugeben.
  • In manchen Ausführungsformen, da die Treiberschaltung DC die Auslesefunktion durchführt, wird die Treiberschaltung DC als eine Ausleseschaltung zusätzlich zu dem Ausleseschaltkreis 21, der in der IC 20 aufgewiesen ist, bezeichnet. Außerdem ist die schematische Ansicht (oder das Diagramm) des in 2 veranschaulichten Bildsensors 10 bloß ein Beispiel und die Offenbarung ist nicht darauf begrenzt. In manchen alternativen Ausführungsformen kann der Bildsensor 10 unterschiedliche Schaltungsdesigns aufweisen. Zum Beispiel kann der erste Transfergate-Transistor TG1 ausgelassen werden. In manchen alternativen Ausführungsformen kann das Layout der Komponenten in der Treiberschaltung DC abhängig von den Schaltungsanforderungen verändert werden. Zum Beispiel ist die Treiberschaltung DC als ein Vier-Transistor-Schaltkreis (4T-Schaltkreis) in 2 abgebildet. Trotzdem kann in manchen alternativen Ausführungsformen die Treiberschaltung DC3 ein 3T-Schaltkreis, ein 5T-Schaltkreis oder ein beliebiger anderer geeigneter Schaltkreis sein.
  • Jedoch ist die Offenbarung nicht darauf begrenzt. In weiteren alternativen Ausführungsformen ist der erste Transfergate-Transistor TG1 in das fotosensitive Bauelement PD eingegliedert und der zweite Transfergate-Transistor TG2 ist in das Speicherbauelement SD eingegliedert, sodass die Treiberschaltung DC ein Drei-Transistor-Schaltkreis (3T-Schaltkreis) ist, der den Rückstelltransistor RST, den Source-Folgetransistor SF und den Reihenauswahltransistor RS aufweist.
  • Der Betrieb des Bildsensors 10 wird unten kurz beschrieben. Um die zu empfangenden Signale daran zu hindern, mit den zuvor empfangenen Signalen vermischt zu werden, wird zuerst ein Rückstellprozess durchgeführt. Während des Rückstellprozesses wird eine Referenzspannung Vcc an den Rückstelltransistor RST angelegt, um den Rückstelltransistor RST einzuschalten, und die Spannung Vpix wird auf die Referenzspannung Vcc geändert. In manchen Ausführungsformen kann die Referenzspannung Vcc 3,3V sein. Danach wird das elektrische Potenzial der potenzialfreien Diffusion FD von dem Rückstelltransistor RST und der Spannung Vpix auf die Referenzspannung Vcc gezogen. Währenddessen werden die Speichergate-Elektrode SG und der zweite Transfergate-Transistor TG2 eingeschaltet, sodass die hohe Referenzspannung Vcc im Stande ist, die zuvor in dem Speicherbauelement SD gespeicherten Ladungen zu verarmen, wodurch das Speicherbauelement SD zurückgestellt wird. In manchen Ausführungsformen wird das fotosensitive Bauelement PD in Verbindung mit dem Speicherbauelement SD verarmt. Zum Beispiel kann die Spannung Vaa auf die Referenzspannung Vcc eingestellt werden und der Shutter-Gate-Transistor SHG kann eingeschaltet werden, um die zuvor in dem fotosensitiven Bauelement PD gesammelten Ladungen zu verarmen. Es sollte angemerkt werden, dass während dieser Phase der erste Transfergate-Transistor TG1 ausgeschaltet ist. Nachdem sichergestellt ist, dass das Speicherbauelement SD zurückgestellt und das fotosensitive Bauelement PD verarmt ist, werden der Shutter-Gate-Transistor SHG, der erste Transfergate-Transistor TG1 und der zweite Transfergate-Transistor TG2 ausgeschaltet. Bei Bestrahlung mit einfallendem Licht werden die Bildladungen in dem fotosensitiven Bauelement PD gefangen. Um auf die Bildladungen zuzugreifen, die in dem fotosensitiven Bauelement PD angesammelt sind, werden der erste Transfergate-Transistor TG1 und die Speichergate-Elektrode SG eingeschaltet, sodass die in dem fotosensitiven Bauelement PD angesammelten Bildladungen in das Speicherbauelement SD transferiert werden. Um auf die Bildladungen zuzugreifen, die in dem Speicherbauelement SD gespeichert sind, werden die Speichergate-Elektrode SG und der zweite Transfergate-Transistor TG2 eingeschaltet, um die Bildladungen von dem Verarmungsgebiet des Speicherbauelements SD in die potenzialfreie Diffusion FD zu transferieren. Nachfolgend wird der Source-Folgetransistor SF eingeschaltet, um das Signal der potenzialfreien Diffusion FD zum Auslesebetrieb zu verstärken und der Reihenauswahltransistor RS wird eingeschaltet, um selektiv die Bilddaten Pixout auszugeben.
  • In manchen Ausführungsformen, wie in 3 gezeigt, wird eine Anordnung gewisser Strukturelemente in einem Pixel 11 für den Bildsensor 10, der in 1 und 2 abgebildet ist, zu Veranschaulichungszwecken hervorgehoben. Zum Beispiel werden Positionierungsstellen (oder Gebiete) des fotosensitiven Bauelements PD, des Speicherbauelements SD und der Treiberschaltung DC in 3 zur einfachen Veranschaulichung gezeigt. Zum Beispiel wird das fotosensitive Bauelement PD in einem fotosensitiven Gebiet 11A positioniert, das Speicherbauelement SD wird in einem Speicherbauelementgebiet 11B positioniert und die Treiberschaltung DC wird in einem Schaltkreisgebiet 11C positioniert, wie in 3 gezeigt wird. In manchen Ausführungsformen werden das Speicherbauelement SD und die Treiberschaltung DC nebeneinander entlang einer Richtung Y eingerichtet, um eine elektrische Kopplung des Speicherbauelements SD und der Treiberschaltung DC zu erleichtern, und das Speicherbauelement SD und die Treiberschaltung DC werden neben dem fotosensitiven Bauelement PD entlang einer Richtung X eingerichtet, um eine elektrische Kopplung des Speicherbauelements SD und des fotosensitiven Bauelements PD zu erleichtern, wobei sich die Richtung X von der Richtung Y unterscheidet. Die Richtung X kann senkrecht zu der Richtung Y sein. Jedoch ist die Offenbarung nicht darauf begrenzt. Eine andere geeignete Anordnung kann übernommen werden, solange die obigen elektrischen Kopplungen mit einem akzeptablen Verlust in den Bildladungen während des Transfers von Bildladungen erzielt werden können. Zum Beispiel können die Komponenten des fotosensitiven Bauelements PD, des Speicherbauelements SD und der Treiberschaltung DC auf und/oder in dem Halbleiter ohne individuelle Positionierungsstellen (oder Gebiete) mit klaren Grenzen gebildet werden können.
  • 4 bis 24 sind schematische vertikale und horizontale Ansichten, die ein Herstellungsverfahren eines Bildsensors zeigen, der in einer Halbleiterstruktur (z.B. einem (Halbleiter-) Bildsensorbauelement 1000a) aufgewiesen ist, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung, wobei 4, 6, 7, 9, 11, 13, 15, 17, 21, 23 und 24 die Querschnittansichten entlang Linie A-A und B-B sind, die in 5, 8, 10, 12, 14, 16, 18, 20 und 22 abgebildet sind. In Ausführungsformen ist das Herstellungsverfahren Teil eines Waferlevel-Prozesses. Es wird angemerkt, dass die hierin beschriebenen Prozessschritte einen Abschnitt der Herstellungsprozesse abdecken, die verwendet werden, um eine Halbleiterstruktur zu fertigen, die einen Bildsensor involviert, der mit einer Isolationsstruktur ausgestattet ist, die ein leitfähiges Gitter aufweist. Solch eine Halbleiterstruktur kann als ein (Halbleiter-) Bildsensor-Die oder Chip oder ein (Halbleiter-) Bildsensorbauelement bezeichnet werden. Die Ausführungsformen sind angedacht, weitere Erklärungen bereitzustellen, sind aber nicht dafür zu verwenden, den Umfang der Offenbarung zu begrenzen. Zum Beispiel können manche Vorgänge in unterschiedlichen Reihenfolgen und/oder gleichzeitig mit anderen Vorgängen oder Ereignissen nebst den veranschaulichten und/oder hierin beschriebenen auftreten. Weiter können nicht alle veranschaulichten Vorgänge benötigt werden, um eine(n) oder mehrere Aspekte oder Ausführungsformen der Beschreibung hierin zu implementieren und einer oder mehrere der hierin abgebildeten Vorgänge können in einem oder mehreren separaten Vorgängen und/oder Phasen umgesetzt werden. In manchen Ausführungsformen ist das (Halbleiter-) Bildsensorbauelement 1000a ein BSI-Bildsensorbauelement, wobei die Strahlung eines einfallenden Lichts (als „L“ in 24 abgebildet bezeichnet) zu einer Rückseite des Halbleitersubstrats 100 in die fotosensitiven Bauelemente PD projiziert wird.
  • In Bezug auf 4 und 5 gemeinsam, wird in manchen Ausführungsformen eine anfängliche IC-Struktur ICS bereitgestellt, wobei die anfängliche IC-Struktur ICS ein Halbleitersubstrat 100a, ein Bauelementgebiet (nicht gezeigt) und ein Interconnect 120 aufweist. In manchen Ausführungsformen weist die anfängliche IC-Struktur ICS ein aktives Gebiet AR1 (z.B. eine Stelle für die Pixel 11 in 2 und 3) und ein peripherisches (oder peripheres) Gebiet PR (z.B. eine Stelle für die Schaltkreise in 1) auf. Die anfängliche IC-Struktur ICS kann andere Gebiete aufweisen, um andere Komponenten des Bildsensorbauelements 1000a zu beherbergen, falls benötigt. Wie in 5 gezeigt, liegt das peripherische Gebiet PR an einer Seite des aktiven Gebiets AR. Jedoch ist die Offenbarung nicht darauf begrenzt; das peripherische Gebiet PR kann an einer, mehr als einer oder allen Seiten des aktiven Gebiets AR liegen.
  • In 4 ist das Halbleitersubstrat 100a zum Beispiel ein Siliziumsubstrat, das mit einem p-Dotierstoff wie Bor dotiert ist und daher ein p-Substrat ist. Alternativ könnte das Halbleitersubstrat 100a ein anderes geeignetes Halbleitermaterial sein. Zum Beispiel kann das Halbleitersubstrat 100a ein Siliziumsubstrat sein, das mit einem n-Dotierstoff wie Phosphor oder Arsen dotiert ist und daher ein n-Substrat ist. Das Halbleitersubstrat 100a kann verschiedene dotierte Gebiete aufweisen, abhängig von Designanforderungen (z.B. p-Wannen oder n-Wannen). In manchen Ausführungsformen sind die dotierten Gebiete mit p-Dotierstoffen, wie Bor oder BF2, und/oder n-Dotierstoffen, wie Phosphor oder Arsen, dotiert. Außerdem können die dotierten Gebiete direkt auf dem Halbleitersubstrat 100a in einer P-Wannenstruktur, in einer N-Wannenstruktur, in einer Doppelwannenstruktur oder unter Verwendung einer angehobenen Struktur gebildet sein. In alternativen Ausführungsformen kann das Halbleitersubstrat 100a aus einem anderen geeigneten elementaren Halbleiter hergestellt sein, wie Diamant oder Germanium; einem geeigneten Verbindungshalbleiter, wie Galliumarsenid, Siliziumcarbid, Indiumarsenid oder Indiumphosphid; oder einem geeigneten Legierungshalbleiter, wie Siliziumgermaniumcarbid, Galliumarsenphosphid oder Galliumindiumphosphid. Weiter könnte das Halbleitersubstrat 100a eine epitaktische Schicht (epi-Schicht) aufweisen, die zur Arbeitsleistungsverbesserung verspannt sein kann. Alternativ kann das Halbleitersubstrat 100a ein Halbleiter auf Isolator sein, wie Silizium-auf-Isolator (SOI) oder Silizium auf Saphir.
  • Wie in 4 veranschaulicht, weist das Halbleitersubstrat 100a zum Beispiel eine Oberseitenoberfläche S100t und eine Bodenoberfläche S100b gegenüber der Oberseitenoberfläche S100t entlang einer Richtung Z auf. Die Richtung Z kann senkrecht zu der X-Y-Ebene sein, z.B. der Richtung X und der Richtung Y. In manchen Ausführungsformen reicht eine Dicke T100a des Halbleitersubstrats 100a ungefähr von 500µm bis 900µm.
  • In manchen Ausführungsformen weist das Halbleitersubstrat 100a auch eine Mehrzahl von ersten Isolationen (nicht gezeigt) in dem aktiven Gebiet AR und eine Mehrzahl von zweiten Isolationen 110 in dem peripheren Gebiet PR auf, die gebildet sind, um unterschiedliche Bauelemente, wie die fotosensitiven Bauelemente PD, die Speicherbauelemente SD, den (die) Transistor(en) (wie RST, SF, RS, TG1 und/oder TG2) in der Treiberschaltung DC und/oder Komponenten der Schaltkreise (z.B. 12, 21, 22, 23), zu isolieren. Die ersten Isolationen und die zweiten Isolationen 110 können jeweils Isolationstechnologie nutzen, wie lokale Oxidation von Silizium (LOCOS) oder Grabenisolation (STI), um die verschiedenen Gebiete elektrisch zu isolieren. Falls die ersten Isolationen und die zweiten Isolationen 110 aus STIs hergestellt sind, können die STIs Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, andere geeignete Materialien oder eine Kombination davon enthalten. In manchen Beispielen weist der gefüllte Graben eine Mehrschichtstruktur wie eine thermische Oxidauskleidungsschicht, die mit Siliziumnitrid oder Siliziumoxid gefüllt ist, auf. In einer Ausführungsform sind die ersten Isolationen dieselben wie die zweiten Isolationen 110. In einer alternativen Ausführungsform sind die ersten Isolationen unterschiedlich von den zweiten Isolationen 110. Zum Beispiel, wie in 4 gezeigt, sind die zweiten Isolationen STIs innerhalb des Halbleitersubstrats 100a eingebettet, wobei eine Oberseitenoberfläche S100t jeder der zweiten Isolationen 110 im Wesentlichen komplanar mit der Oberseitenoberfläche S100t des Halbleitersubstrats 100a ist und eine Bodenoberfläche S110b jeder der zweiten Isolationen 110 von der Bodenoberfläche S100b des Halbleitersubstrats 100a abgedeckt wird (z.B. nicht zugänglich aufgedeckt wird).
  • Zurück zu 4 werden in manchen Ausführungsformen das erste dotierte Gebiet 102a und das zweite dotierte Gebiet 104a in dem Halbleitersubstrat 100a innerhalb des aktiven Gebiets AR gebildet, um eine Fotodiode 106 zu bilden. Zum Beispiel begründen eine Fotodiode 106 und ein Grenzflächengebiet zwischen der Fotodiode 106 (z.B. das erste dotierte Gebiet 102a) und das Halbleitersubstrat 100a/100, das umliegend dazu ist, gemeinsam ein fotosensitives Bauelement PD. Es sollte angemerkt werden, dass eine Konfiguration der Fotodiode 106, die in der Offenbarung veranschaulicht ist, bloß als eine beispielhafte Veranschaulichung des fotosensitiven Bauelements PD dient und die Offenbarung nicht darauf begrenzt ist. Alternativ kann das fotosensitive Bauelement PD eine Fotodiode sein, die nur das erste dotierte Gebiet 102a (das sehr nahe der Oberseitenoberfläche S100t des Halbleitersubstrats 100a angeordnet ist) und ein Grenzflächengebiet zwischen der Fotodiode 106 und dem Halbleitersubstrat 100a, das umliegend ist, aufweist. Wie in 4 gezeigt, weist die Fotodiode 106 eine veranschaulichte Oberseitenoberfläche (nicht gekennzeichnet) und eine veranschaulichte Bodenoberfläche (nicht gekennzeichnet) gegenüber dazu entlang der Richtung Z auf, wobei die veranschaulichte Oberseitenoberfläche im Wesentlichen komplanar zu der Oberseitenoberfläche S110t des Halbleitersubstrats 100a ist und die veranschaulichte Bodenoberfläche zum Beispiel von der Bodenoberfläche S110b des Halbleitersubstrats 100a abgedeckt ist. In alternativen Ausführungsformen ist die veranschaulichte Oberseitenoberfläche der Fotodiode 106 sehr nahe Oberseitenoberfläche S110t des Halbleitersubstrats 100a, nicht aber komplanar dazu.
  • Das erste dotierte Gebiet 102a kann gebildet werden, indem das Halbleitersubstrat 100a mit Dotierstoffen eines ersten Typs dotiert wird, und das zweite dotierte Gebiet 104a kann gebildet werden, indem das Halbleitersubstrat 100a über dem ersten dotierten Gebiet 102a mit Dotierstoffen eines zweiten Typs dotiert wird. Die Dotierstoffe des ersten Typs sind in manchen Ausführungsformen unterschiedlich von den Dotierstoffen des zweiten Typs. Zum Beispiel, wenn das Halbleitersubstrat 100a ein p-Substrat ist, kann das erste dotierte Gebiet 102a mit n-Dotierstoffen (wie Phosphor oder Arsen) dotiert sein und das zweite dotierte Gebiet 104a kann mit p-Dotierstoffen (wie Bor oder BF2) dotiert sein, um einen P-N-Übergang zwischen dem ersten dotierten Gebiet 102a und dem zweiten dotierten Gebiet 104a zu bilden. Das heißt, das Halbleitersubstrat 100a und das zweite dotierte Gebiet 104a weisen dieselbe Leitfähigkeit (z.B. der zweite Typ) auf, die unterschiedlich von der Leitfähigkeit (z.B. der erste Typ) des ersten dotierten Gebiets 102a ist.
  • Alternativ, wenn das Halbleitersubstrat 100a ein n-Substrat ist, kann das erste dotierte Gebiet 102a mit p-Dotierstoffen dotiert sein und das zweite dotierte Gebiet 104a kann mit n-Dotierstoffen dotiert sein, um den P-N-Übergang dazwischen zu bilden. In manchen Ausführungsformen können die Dotierstoffe in das erste dotierte Gebiet 102a und das zweite dotierte Gebiet 104a durch einen Ionenimplantationsprozess dotiert werden.
  • Wie zuvor erwähnt, können das erste dotierte Gebiet 102b und das zweite dotierte Gebiet 104b des Speicherbauelements SD auf eine ähnliche Weise wie das erste dotierte Gebiet 102a und das zweite dotierte Gebiet 104a gebildet werden. Deshalb, obwohl nicht veranschaulicht, sollte festgehalten werden, dass das Speicherbauelement SD innerhalb des Halbleitersubstrats 100a liegt.
  • In manchen Ausführungsformen ist das Bauelementgebiet entlang der Oberseitenoberfläche S100t des Halbleitersubstrats 100a eingerichtet und erstreckt sich in das Halbleitersubstrat 100a. Das Bauelementgebiet weist eine Mehrzahl von Bauelementen auf (wie das fotosensitive Bauelement PD (die Fotodiode 106 aufweisend), das Speicherbauelement SD (das erste dotierte Gebiet 102b und das zweite dotierte Gebiet 104b aufweisend) und die Treiberschaltung DC (die Transistoren RST, SF,RS, TG1 oder TG2 aufweisend) entsprechend jedem Pixel 11 auf; Logikbauelemente (wie die Transistoren SHG) entsprechend dem Zugriffsschaltkreis 12 zum Aktivieren der Pixel 11; und aktive Bauelemente und passive Bauelemente entsprechend der Ausleseschaltkreiskomponente 21, der Signalverarbeitungsschaltkreiskomponente 22 und der Ausgangsschaltkreiskomponente 23 zum Auslesen des fotosensitiven Bauelements PD). Das fotosensitive Bauelement PD ist in Reihen und Spalten innerhalb des Halbleitersubstrats 100a eingerichtet und konfiguriert, Ladung von Photonen anzusammeln, die auf die Fotodioden 106 einfallen. Weiter sind die Fotodioden 106 optisch durch die ersten Isolationen (nicht gezeigt, wie STI oder LOCOS) in dem Halbleitersubstrat 100a voneinander isoliert, wodurch Übersprechen über die benachbarten Pixel 11 reduziert wird.
  • In manchen Ausführungsformen ist das Bauelementgebiet in einem Frontend-of-Line-Prozess (FEOL-Prozess) gebildet. Die Bauelemente in dem Bauelementgebiet weisen IC-Bauteile auf. Die Bauelemente sind zum Beispiel Transistoren, Kondensatoren, Widerstände, Dioden, Fotodioden, Sicherungsbauelemente oder andere ähnliche Bauelemente. In einer Ausführungsform weist das Bauelementgebiet eine Gate-Struktur und Source- und Drain-Gebiete auf. In dem Bauelementgebiet können unterschiedliche N-Metalloxidhalbleiter (NMOS) und/oder P-Metalloxidhalbleiter (PMOS) Bauelemente, wie Transistoren oder Speicher und dergleichen, gebildet sein und miteinander verbunden sein, um eine oder mehrere Funktionen durchzuführen. Die Funktionen der Bauelemente können Speicher, Prozessoren, Sensoren, Verstärker, Leistungsverteilung, Eingang/Ausgang-Schaltkreise oder dergleichen umfassen.
  • Weiter mit 4, wird in manchen Ausführungsformen das Interconnect 120 auf dem Halbleitersubstrat 100a entlang der Oberseitenoberfläche S100t des Halbleitersubstrats 100a gebildet. In manchen Ausführungsformen wird das Interconnect 120 in einem Backend-of-Line-Prozess (BEOL-Prozess) gebildet. Das Interconnect 120 ist auf dem Bauelementgebiet und elektrisch mit den Bauelementen des Bauelementgebiets verbunden, um Leitungsfunktionen zu dem Bauelementgebiet bereitzustellen. In manchen Ausführungsformen weist das Interconnect 120 mindestens eine strukturierte dielektrische Schicht und mindestens eine leitfähige Schicht auf, die Zwischenverbindungen (z.B. Verdrahtung) zwischen den verschiedenen dotierten Strukturelementen, Schaltkreisen und Eingang/Ausgang des Bauelementgebiets, das auf und/oder in dem Halbleitersubstrat 100a gebildet ist, bereitstellen. Das Interconnect 120 wird zum Beispiel als eine Umverteilungsschaltungsstruktur oder eine Zwischenverbindungsstruktur des Bauelementgebiets betrachtet.
  • Zum Beispiel weist das Interconnect 120 eine Mehrschicht-Interconnect-Struktur (MLI-Struktur) auf, wobei die MLI-Struktur eine dielektrische Schicht (oder eine dielektrische Struktur mit mehreren dielektrischen Schichten) 122, eine Mehrzahl von leitfähigen Leitungen 124 und eine Mehrzahl von Durchkontaktierungen/Kontakten 126 aufweist. Zu Zwecken der Veranschaulichung wird festgehalten, dass die dielektrische Schicht 122, die leitfähigen Leitungen 124 und Durchkontaktierungen/Kontakte 126, die in 4 veranschaulicht sind, bloß beispielhaft sind und die tatsächliche Positionierung, Schichtanzahl und Konfiguration der dielektrischen Schicht 122, der leitfähigen Leitungen 124 und der Durchkontaktierungen/Kontakte 126 abhängig von Designbedürfnissen und Herstellungsbelangen variieren kann.
  • Die dielektrische Schicht 122 kann Polyimid, Polybenzoxazol (PBO), Benzocyclobuten (BCB), ein Nitrid wie Siliziumnitrid, ein Oxid wie Siliziumoxid, Phosphosilikatglas (PSG), Borosilikatglas (BSG), bordotiertes Phosphosilikatglas (BPSG), eine Kombination davon oder dergleichen sein, das unter Verwendung eines Fotolithografie- und/oder Ätzprozesses strukturiert werden kann. In manchen Ausführungsformen ist die dielektrische Schicht 122 durch geeignete Fertigungstechniken wie Spin-on-Beschichtung, chemische Gasphasenabscheidung (CVD), plasmaverstärkte chemische Gasphasenabscheidung (PECVD) oder dergleichen gebildet.
  • Die leitfähigen Leitungen 124 und die Durchkontaktierungen/Kontakte 126 können aus leitfähigen Materialien hergestellt werden, die durch Elektroplattierung oder Abscheidung gebildet werden, wie Kupfer, Kupferlegierung, Aluminium, Aluminiumlegierung oder Kombinationen davon, die unter Verwendung eines Fotolithografie- und Ätzprozesses strukturiert werden können. In manchen Ausführungsformen können die leitfähigen Leitungen 124 Metallleitungen, Metallpads, Metallspuren usw. sein. Zum Beispiel können die Durchkontaktierungen/Kontakte 126 Metalldurchkontaktierungen usw. sein. Zum Beispiel sind die leitfähigen Leitungen 124 und die Durchkontaktierungen/Kontakte 126 strukturierte Kupferschichten/-durchkontaktierungen. In manchen Ausführungsformen werden die leitfähigen Leitungen 124 und die Durchkontaktierungen/Kontakte 126 durch einen dualen Damaszenerprozess gebildet. Das heißt, die leitfähigen Leitungen 124 und die Durchkontaktierungen/Kontakte 126 können gleichzeitig gebildet werden. Über die Beschreibung hinweg soll der Ausdruck „Kupfer“ im Wesentlichen rein elementares Kupfer, kupferhaltige unvermeidbare Verunreinigungen und Kupferlegierungen, die geringe Mengen an Elementen wie Tantal, Indium, Zinn, Zink, Mangan, Chrom, Titan, Germanium, Strontium, Platin, Magnesium, Aluminium oder Zirkonium usw. enthalten, umfassen.
  • In manchen Ausführungsformen werden die leitfähigen Leitungen 124 und die Durchkontaktierungen/Kontakte 126 gemeinsam als Metallisierungsschichten bezeichnet. Das Interconnect 120 kann als ein BEOL-Metallisierungsstapel bezeichnet werden. Wie in 4 gezeigt, ist zum Beispiel eine oberste Schicht (z.B. 124) der Metallisierungsschichten des Interconnects 120 von einer Oberseitenoberfläche S122t der dielektrischen Schicht 122 freigelegt. Mit anderen Worten, Oberseitenoberflächen Si24t der obersten Schicht (z.B. 124) der Metallisierungsschichten des Interconnects 120 sind im Wesentlichen komplanar mit der Oberseitenoberfläche S122t der dielektrischen Schicht 122. Die Oberseitenoberfläche S122t der dielektrischen Schicht 122 und die Oberseitenoberflächen S124t, die von der Oberseitenoberfläche S122t der dielektrischen Schicht 122 freigelegt sind, werden in manchen Ausführungsformen gemeinsam als eine Oberseitenoberfläche Si20t des Interconnects 120 bezeichnet, wie in 4 gezeigt wird.
  • In manchen Ausführungsformen wird nach dem Bilden des Bauelementgebiets und vor dem Bilden des Interconnects 120 eine Ätzstoppschicht (nicht gezeigt) einheitlich über dem Bauelementgebiet und die Bauelemente davon abdeckend gebildet und eine Zwischenschichtdielektrikumschicht (ILD-Schicht) (nicht gezeigt) wird über der Ätzstoppschicht gebildet, bis eine oberste Oberfläche erhalten wird, die einen hohen Grad an Ebenheit und Flachheit aufweist, was für die später gebildeten Schichten/Elemente (z.B. das Interconnect 120) vorteilhaft ist. Zum Beispiel dringt eine unterste Schicht (z.B. 126) der Metallisierungsschichten des Interconnects 120 durch die ILD-Schicht und die Ätzstoppschicht, die elektrisch mit den Bauelementen der Bauelementgebiete zu verbinden sind. In manchen Ausführungsformen stellt die Ätzstoppschicht Schutz für das Bauelementgebiet während Einrichtens der elektrischen Verbindungen zwischen dem Bauelementgebiet und dem Interconnect 120 bereit. Die Ätzstoppschicht kann als eine Kontaktätzstoppschicht (CESL) bezeichnet werden.
  • Die Ätzstoppschicht kann Siliziumnitrid, kohlenstoffdotiertes Siliziumnitrid oder eine Kombination davon enthalten, das unter Verwendung von Prozessen, wie CVD (z.B. hochdichte Plasma-CVD (HDPCVD), Unterdruck-CVD (SACVD)), molekulare Schichtabscheidung (MLD) oder andere geeignete Verfahren abgeschieden werden kann. In manchen Ausführungsformen wird, bevor die Ätzstoppschicht gebildet wird, eine Pufferschicht (nicht gezeigt) weiter über dem Halbleitersubstrat 100a und auf dem Bauelementgebiet gebildet. In einer Ausführungsform ist die Pufferschicht ein Oxid wie Siliziumoxid; jedoch ist die Offenbarung nicht darauf begrenzt und es kann eine andere Zusammensetzung genutzt werden. In manchen Ausführungsformen ist die Pufferschicht durch Prozesse wie CVD (z.B. HDPCVD, SACVD), MLD oder andere geeignete Verfahren abgeschieden.
  • Die ILD-Schicht kann Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbonitrid, Siliziumcarbidoxynitrid, Spin-on-Glas (SOG), PSG, BPSG, FSG, kohlenstoffdotiertes Siliziumoxid (z.B. SiOC(-H)), Polyimid und/oder eine Kombination davon enthalten. In manchen alternativen Ausführungsformen kann die ILD-Schicht Low-K-Dielektrikum-Materialien enthalten. Beispiele von Low-K-Dielektrikum-Materialien umfassen Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, BVB, Wasserstoff Silsesquioxan (HSQ) oder fluoriertes Siliziumoxid (SiOF) und/oder eine Kombination davon. Es wird verstanden, dass die ILD-Schicht ein oder mehrere dielektrische Materialien enthalten kann. In manchen Ausführungsformen ist die ILD-Schicht zu einer geeigneten Dicke durch CVD (z.B. fließbare chemische Gasphasenabscheidung (FCVD), HDPCVD, SACVD), Spin-on-Beschichtung, Sputtern oder andere geeignete Verfahren gebildet.
  • In Bezug auf 6 ist in manchen Ausführungsformen die anfängliche IC-Struktur ICS durch eine Debond-Schicht 52 auf einem Träger 50 platziert. Zum Beispiel ist die Oberseitenoberfläche S120t des Interconnects 120 in Kontakt mit der Debond-Schicht 52, wobei die Debond-Schicht 52 zwischen dem Träger 50 und der anfänglichen IC-Struktur ICS liegt. Ein Material des Trägers 50 kann Glas, Metall, Keramik, Silizium, Kunststoff, Kombinationen davon, Mehrfachschichten davon oder ein anderes geeignetes Material enthalten, das strukturelle Unterstützung für die anfängliche IC-Struktur ICS in nachfolgender Verarbeitung bereitstellen kann. In manchen Ausführungsformen ist der Träger 50 aus Glas und der Debond-Schicht 52, die verwendet wird, um die anfängliche IC-Struktur ICS an dem Träger zu befestigen, hergestellt. Das Material der Debond-Schicht 52 kann ein beliebiges Material sein, das zum Bonding und Debonding des Trägers 50 von der (den) obigen Schicht(en) (z.B. das Interconnect 120) oder beliebigen darauf angeordneten Wafer(n) (z.B. der anfänglichen IC-Struktur ICS) geeignet ist. In manchen Ausführungsformen kann die Debond-Schicht 50 eine Freigabeschicht (wie eine Licht-zu-Wärme-Umwandlungsschicht („LTHC“-Schicht)) oder eine Kleberschicht (wie ein mit ultravioletthärtender Kleber oder eine wärmehärtende Kleberschicht) aufweisen. Andere geeignete temporäre Kleber können für die Debond-Schicht 50 verwendet werden.
  • Danach wird in manchen Ausführungsformen ein Planarisierungsprozess an der Bodenoberfläche S100b des Halbleitersubstrats 100a durchgeführt, um ein (verdünntes) Halbleitersubstrat 100 zu bilden. In manchen Ausführungsformen reicht eine Dicke T100 des Halbleitersubstrats 100 ungefähr von 1,5/lm bis 21µm. Die Dicke T100 des verdünnten Halbleitersubstrats 100 ist zum Beispiel geringer als die Dicke T100a des Halbleitersubstrats 100a. Mit anderen Worten, der Planarisierungsprozess wird an der Bodenoberfläche S100b des Halbleitersubstrats 100a angewendet, bis erzielt wird, dass das verdünnte Halbleitersubstrat 100 eine gewünschte Dicke aufweist. Das so verdünnte Halbleitersubstrat 100 reduziert einen Spalt (oder Abstand) zwischen der Bodenoberfläche der Fotodiode 106 und der Bodenoberfläche S100b des Halbleitersubstrats 100, was Licht erlaubt, durch das Halbleitersubstrat 100 zu gehen und auf die Fotodioden 106 des fotosensitiven Bauelements PD zu treffen, das in dem Halbleitersubstrat 100 eingebettet ist, ohne von dem Halbleitersubstrat 100 absorbiert zu werden. Zum Beispiel, wie in 6 gezeigt, sind die fotosensitiven Bauelemente PD, die ersten Isolationen und die zweiten Isolationen 110 nicht zugänglich von der Bodenoberfläche S100b des Halbleitersubstrats 100 aufgedeckt.
  • In manchen Ausführungsformen kann der Planarisierungsprozess einen Schleifprozess, einen chemisch-mechanischen Polierprozess (CMP-Prozess), einen Ätzprozess oder Kombinationen davon umfassen. Der Ätzprozess kann anisotropes Ätzen oder isotropes Ätzen umfassen. Nach Planarisieren kann optional ein Reinigungsprozess durchgeführt werden, um zum Beispiel den Rest zu reinigen und entfernen, der von dem Planarisierungsprozess erzeugt wird. Jedoch ist die Offenbarung nicht darauf begrenzt und der Planarisierungsprozess kann durch ein beliebiges anderes geeignetes Verfahren durchgeführt werden.
  • In Bezug auf 7 und 8 wird in manchen Ausführungsformen ein Strukturierungsprozess PE1 durchgeführt, um eine Mehrzahl von Gräben OP1 in dem Halbleitersubstrat 100 zu bilden. Die Gräben OP1 können teilweise tiefe Gräben (PDT) aufweisen. Wie in 8 gezeigt, liegen die Gräben OP1 zum Beispiel innerhalb des aktiven Gebiets AR, wobei die Gräben OP1 die Fotodiode 106 des fotosensitiven Bauelements PD jedes Pixels 11 umgeben. Mit anderen Worten, die Gräben OP1 sind räumlich miteinander verbunden und erstrecken sich fortlaufend um das fotosensitive Bauelement PD. Wie in 6 und 7 gezeigt, sind die fotosensitiven Bauelemente PD zum Beispiel in einer Mehrzahl von Gebieten 166 positioniert, die von den Gräben OP1 abgegrenzt werden. In manchen Ausführungsformen werden, wie in 8 gezeigt, die Gräben OP1 in einer vertikalen Projektion auf dem Halbleitersubstrat 100 entlang der Richtung Z nicht von dem fotosensitiven Bauelement PD überlappt. Zum Beispiel können die Gräben OP1 fortlaufende Gräben sein und können als eine Gitterform (z.B. eine Form von Gitternetz) konfiguriert sein. Das heißt, die Gräben OP1 können gemeinsam als ein Gitter(netz)-Hohlraum bezeichnet werden, der in dem Halbleitersubstrat 100 gebildet ist. In manchen Ausführungsformen erstrecken sich mindestens manche der Gräben OP1 weiter zu dem Umfangsgebiet PR, wie in 8 gezeigt wird. Zum Beispiel reicht eine Höhe T1 der Gräben T1 der Gräben OP1 ungefähr von 0,3µm bis 20µm. In einer Ausführungsform ist die Höhe T1 der Gräben OP1 geringer als die Dicke T100 des Halbleitersubstrats 100, wobei ein Abschnitt des Halbleitersubstrats 100 unter den Gräben OP1 eine ausreichende Dicke aufweist, die anderen Bauelementen wie dem Speicherbauelement SD und der Treiberschaltung DC erlaubt, unterhalb der Gräben OP1 gebildet zu werden. Zum Beispiel reicht eine Breite D1 der Gräben OP1 ungefähr von 0,011µm bis 5µm, wobei die Breite D1 entlang einer Richtung senkrecht zu einer Erstreckungsrichtung der Gräben OP1 gemessen wird, wie in 7 und 8 gezeigt wird.
  • Jedoch ist die Offenbarung nicht darauf begrenzt; alternativ kann die Höhe T1 der Gräben OP1 im Wesentlichen gleich der Dicke T100 des Halbleitersubstrats 100 sein, wobei die Gräben OP1 nicht von dem Speicherbauelement SD und der Treiberschaltung DC überlappt werden. In den Ausführungsformen, bei denen die Höhe T1 der Gräben OP1 im Wesentlichen gleich der Dicke T100 des Halbleitersubstrats 100 des fotosensitiven Bauelements PD ist, weisen die Gräben OP1 vollständig tiefe Gräben (FDT) auf, wobei das Speicherbauelement SD und die Treiberschaltung DC neben den Gräben OP1 liegen.
  • Der Strukturierungsprozess PE1 kann Fotolithografie- und Ätzprozesse umfassen. Zum Beispiel wird eine strukturierte Maskenschicht (nicht gezeigt) auf der Bodenoberfläche S100b des Halbleitersubstrats 100 gebildet. Die strukturierte Maskenschicht kann einen Fotolack und/oder eine oder mehrere Hartmaskenschicht(en) aufweisen. Die strukturierte Maskenschicht weist Öffnungen (nicht gezeigt) auf, die Abschnitte des Halbleitersubstrats 100 freilegen, die kein fotosensitives Bauelement PD aufweisen, und Abschnitte des Halbleitersubstrats 100 abdecken, die die fotosensitiven Bauelemente PD aufweisen. Danach wird ein Ätzprozess, der die strukturierte Maskenschicht als eine Ätzmaske verwendet, durchgeführt, um mindestens Abschnitte des Halbleitersubstrats 100 zu entfernen, die von der strukturierten Maskenschicht freigelegt sind, um die Gräben OP1 zu bilden. Für veranschaulichende Zwecke begrenzt die Zahl der in 8 gezeigten Gräben OP1 die Offenbarung nicht und kann basierend auf dem Bedarf und Layoutdesign (z.B. die Positionen der Pixel 11) bezeichnet und ausgewählt werden.
  • In Bezug auf 9 und 10 werden in manchen Ausführungsformen eine dielektrische Schicht 150, eine dielektrische Schicht 152, eine dielektrische Schicht 154 und ein leitfähiges Material 160m über dem Halbleitersubstrat 100 entlang der Bodenoberfläche S100b gebildet. In manchen Ausführungsformen wird die dielektrische Schicht 150 auf dem Halbleitersubstrat 100 gebildet und in die Gräben OP1 verlängert. Die dielektrische Schicht 150 wird einheitlich über der Bodenoberfläche S100b des Halbleitersubstrats 100 gebildet und deckt weiter Seitenwände (nicht gekennzeichnet) und Bodenoberflächen (nicht gekennzeichnet) der Gräben OP1 ab. Die dielektrische Schicht 150 kann auch als eine dielektrische Auskleidung (der Gräben OP1) bezeichnet werden. Die dielektrische Schicht 150 kann ein geeignetes dielektrisches Material enthalten, wie Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder ein High-k-Dielektrikum-Material. Es sollte angemerkt werden, dass das High-k-Dielektrikum-Material ein dielektrisches Material enthalten kann, das eine größere dielektrische Konstante als etwa 4 oder sogar größer als etwa 10 aufweist. Das High-k-Dielektrikum-Material kann Metalloxide enthalten. Beispiele von Metalloxiden, die für das High-k-Dielektrikum-Material verwendet werden, umfassen Oxide von Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Ta und/oder eine Kombination davon. Zum Beispiel enthält die dielektrische Schicht 150 zum Beispiel Aluminiumoxid (AlO), Hafniumoxid (HfO), Hafniumsiliziumoxid (HfSiO), Hafniumaluminiumoxid (HfAlO) oder Hafniumtantaloxid (HfTaO) oder dergleichen. In manchen Ausführungsformen reicht eine Dicke T150 der dielektrischen Schicht 150 ungefähr von 5Å (Ängström) bis 1000Å. Die dielektrische Schicht 150 kann unter Verwendung eines geeigneten Prozesses gebildet werden, der gute Spaltfüllungsfähigkeit aufweist, wie Atomschichtabscheidung (ALD). Hierin, wenn eine Schicht als einheitlich oder einheitlich gebildet beschrieben wird, gibt dies an, dass die Schicht eine im Wesentlichen gleiche Dicke entlang des Gebiets erstreckt aufweist, auf dem die Schicht gebildet ist.
  • In einer Ausführungsform weist die dielektrische Schicht 150 eine Einzelschichtstruktur auf. In einer alternativen Ausführungsform weist die dielektrische Schicht 150 eine Mehrfachschichtstruktur von zwei oder mehr unterschiedlichen Materialien auf. In einer weiteren alternativen Ausführungsform weist die dielektrische Schicht 150 eine Mehrfachschichtstruktur eines selben Materials auf. Die Offenbarung ist nicht darauf begrenzt.
  • Danach wird in manchen Ausführungsformen die dielektrische Schicht 152 auf der dielektrischen Schicht 150 gebildet, die auf der Bodenoberfläche S100b des Halbleitersubstrats 100 liegt. Wie in 9 gezeigt, erstreckt sich die dielektrische Schicht 152 zum Beispiel nicht in die Gräben OP1. Mit anderen Worten, die dielektrische Schicht 152 ist zum Beispiel in manchen Ausführungsformen eine strukturierte dielektrische Schicht mit einer Mehrzahl von Löchern (nicht gekennzeichnet) entsprechend den Gräben OP1 (z.B. diese freilegend). Die dielektrische Schicht 152 kann ein geeignetes dielektrisches Material enthalten, wie Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder das zuvor beschriebene High-k-Dielektrikum-Material. Die dielektrische Schicht 152 kann eine Einzelschichtstruktur oder eine Mehrfachschichtstruktur aufweisen. In manchen Ausführungsformen kann die dielektrische Schicht 152 eine erste dielektrische Schicht und eine zweite dielektrische Schicht auf der ersten dielektrischen Schicht aufweisen. Die erste dielektrische Schicht kann ein Oxid (wie Siliziumoxid) enthalten und die zweite dielektrische Schicht kann ein Nitrid (wie Siliziumnitrid) enthalten. In manchen Ausführungsformen reicht eine Dicke T152 der dielektrischen Schicht 152 von ungefähr 50Å bis 6000Å. Die dielektrische Schicht 152 kann unter Verwendung eines geeigneten Prozesses gebildet werden, der schlechte Spaltfüllungsfähigkeit aufweist, sodass die dielektrische Schicht 152 nicht innerhalb der Gräben OP1 gebildet wird. Der Abscheidungsprozess kann CVD (wie PECVD), physikalische Gasphasenabscheidung (PVD), thermische Oxidation, UV-Ozon-Oxidation oder eine Kombination davon umfassen. Die Oberseitenöffnungen der Gräben OP1 können oder können nicht von der dielektrischen Schicht 152 abgedeckt sein. Wenn berücksichtigt wird, dass die Oberseitenöffnungen der Gräben OP1 von der dielektrischen Schicht 152 abgedeckt sind, wird weiter ein Ätzprozess übernommen, um die Gräben OP1 und die darin gebildete dielektrische Schicht 150 zugänglich aufzudecken. Der Ätzprozess kann anisotropes Ätzen sein. In einer Ausführungsform unterscheidet sich das Material der dielektrischen Schicht 150 von dem Material der dielektrischen Schicht 152, wobei eine Grenzfläche zwischen den dielektrischen Schichten 150 und 152 besteht. Alternativ kann das Material der dielektrischen Schicht 150 dasselbe wie das Material der dielektrischen Schicht 152 sein, wobei es keine individuelle Grenzfläche zwischen den dielektrischen Schichten 150 und 152 gibt.
  • Dann wird die dielektrische Schicht 154 auf der dielektrischen Schicht 152 und der dielektrischen Schicht 150 gebildet und weiter in die Gräben OP1 verlängert. Die dielektrische Schicht 154 wird einheitlich über der Bodenoberfläche S100b des Halbleitersubstrats 100 gebildet und deckt weiter die dielektrischen Schichten 152 und 150 ab. Die dielektrische Schicht 154 kann auch als eine dielektrische Auskleidung (der Gräben OP1) bezeichnet werden. Die dielektrische Schicht 154 kann ein geeignetes dielektrisches Material enthalten, wie Siliziumoxid, Siliziumnitrid oder Siliziumoxynitrid. In manchen Ausführungsformen reicht eine Dicke T154 der dielektrischen Schicht 154 etwa von 50Å bis 5000Å. Die dielektrische Schicht 154 kann unter Verwendung eines geeigneten Prozesses gebildet werden, der gute Spaltfüllungsfähigkeit aufweist, wie Atomschichtabscheidung ALD. Wie in 9 gezeigt, sind die Dicke T150 der dielektrischen Schicht 150 und die die Dicke T154 der dielektrischen Schicht 154 zum Beispiel geringer als die Dicke T152 der dielektrischen Schicht 152.
  • Nach der Bildung der dielektrischen Schicht 154 wird das leitfähige Material 160m über dem Halbleitersubstrat 100 gebildet, um eine Oberseitenoberfläche S154 der dielektrischen Schicht 154 abzudecken und in manchen Ausführungsformen die Gräben OP1 und die Löcher der dielektrischen Schicht 152 zu füllen. In manchen Ausführungsformen enthält ein Material des leitfähigen Materials 160m ein geeignetes leitfähiges Material, wie Metall und/oder Metalllegierung. Zum Beispiel kann das leitfähige Material 160m Aluminium (AI), Aluminiumlegierungen, Wolfram (W), Kupfer (Cu), Kupferlegierungen oder Kombinationen davon (z.B. AlCu), dergleichen oder Kombinationen davon sein. In gewissen Ausführungsformen enthält das Material des leitfähigen Materials 160m ein geeignetes leitfähiges Material, das einen Reflexionsgrad von 80% oder mehr, 95% oder mehr oder 99% oder mehr in einem Wellenlängenbereich von etwa 400nm bis etwa 5µm aufweist. Mit anderen Worten, das Material des leitfähigen Materials 160m ist im Stande, 80% oder mehr, 95% oder mehr oder 99% oder mehr der Menge eines einfallenden Lichts zu reflektieren, das eine Wellenlänge aufweist, die von etwa 400nm bis etwa 5µm reicht. Zum Beispiel ist das leitfähige Material 160m Al, wie in 9 gezeigt wird. In manchen Ausführungsformen kann die Bildung des leitfähigen Materials 160m einen Abscheidungsprozess umfassen, wie CVD, PVD oder dergleichen; einen Plattierungsprozess oder eine Kombination davon.
  • In Bezug auf 11 und 12 wird in manchen Ausführungsformen ein Planarisierungsprozess an dem leitfähigen Material 160m durchgeführt, um ein leitfähiges Strukturelement 160 innerhalb der Gräben OP1 zu bilden. In der Offenbarung wird das leitfähige Strukturelement 160 zum Beispiel als ein leitfähiges Gitter (oder ein Metallgitter, ein Metallisierungsgitter) 160 bezeichnet, das innerhalb des Gitternetzhohlraums (von den Gräben OP1 begründet) gebildet wird. Wie in 11 gezeigt, sind zum Beispiel eine Oberseitenoberfläche S160 des leitfähigen Gitters 160 im Wesentlichen komplanar zu und eben mit der Oberseitenoberfläche S154 der dielektrischen Schicht 154. Zum Beispiel werden das leitfähige Gitter 160, die dielektrische Schicht 150 (dient als die dielektrischen Auskleidungen) in den Gräben OP1 und die dielektrische Schicht 154 (dient als die dielektrischen Auskleidungen) in den Gräben OP1 in der Offenbarung als eine Isolationsstruktur GS in einer Gitternetzform bezeichnet. In manchen Ausführungsformen werden ein Abschnitt der dielektrischen Schicht 150 und ein Abschnitt der dielektrischen Schicht 154, die innerhalb der Gräben OP1 liegen, gemeinsam als eine dielektrische Struktur DI1 der Isolationsstruktur GS bezeichnet. Ein vorteilhaftes Merkmal, solch eine Isolationsstruktur GS aufzuweisen, ist, dass eine Vorspannung (z.B. eine negative Vorspannung Nb in 24) an das leitfähige Gitter 160 angelegt wird, was Lochansammlungen entlang von Seitenwänden der Isolationsstruktur GS erzeugen würde und Elektronen daran hindern würde, nahe der Isolationsstruktur GS gefangen zu werden, um Kriechstrom wie auch Übersprechen zwischen benachbarten Pixeln 11 in dem Bildsensor 10 zu reduzieren. Und daher wird die Arbeitsleistung des Bildsensors 10 verbessert. Wie in 11 und 12 gezeigt, deckt die Isolationsstruktur GS innerhalb des aktiven Gebiets AR die Treiberschaltungen DC und die Speicherbauelemente SD der Pixel 11 und neben dem fotosensitiven Bauelement PD positioniert in den Gebieten 166 ab. Die Gebiete 166 können als Öffnungen 166 der Isolationsstruktur GS bezeichnet werden, die das fotosensitive Bauelement PD umgibt und freilegt. In der alternativen Ausführungsform, von der die Gräben OP1 FDT, die Isolationsstruktur GS innerhalb des aktiven Gebiets AR neben den Treiberschaltungen DC, die Speicherbauelemente SD und das fotosensitive Bauelement PD sind.
  • Der Planarisierungsprozess kann einen Schleifprozess, einen CMP-Prozess, einen Ätzprozess, dergleichen oder Kombinationen davon umfassen. Während des Planarisierungsprozesses kann auch die dielektrische Schicht 154 planarisiert werden. Nach Planarisieren kann ein Reinigungsprozess optional durchgeführt werden, zum Beispiel um den Rest zu reinigen und entfernen, der von dem Planarisierungsprozess erzeugt wird. Jedoch ist die Offenbarung nicht darauf begrenzt und der Planarisierungsprozess kann durch ein beliebiges anderes geeignetes Verfahren durchgeführt werden.
  • In Bezug auf 13 und 14 wird in manchen Ausführungsformen ein Strukturierungsprozess PE2 durchgeführt, um eine Mehrzahl von Öffnungen OP2 in dem Halbleitersubstrat 100 zu bilden. Zum Beispiel liegen die Öffnungen OP2 innerhalb des peripherischen Gebiets PR, wobei die Öffnungen OP2 mindestens an einer Seite des leitfähigen Gitters 160 gebildet sind und voneinander getrennt sind. Mit anderen Worten, die Öffnungen OP2 sind fern von dem leitfähigen Gitter 160. Alternativ können die Öffnungen OP2 an zwei oder mehr als zwei Seiten des leitfähigen Gitters 160 gebildet werden, wobei die Offenbarung nicht darauf begrenzt ist. In manchen Ausführungsformen entsprechen die Öffnungen OP2 in der vertikalen Projektion auf dem Halbleitersubstrat 100 entlang der Richtung Z den zweiten Isolationen 110 (z.B. überlappen damit). Zum Beispiel erstrecken sich die Öffnungen OP2 weiter in einen Abschnitt der zweiten Isolationen 1110 und decken Oberflächen S110 der zweiten Isolationen 110 zugänglich auf. Falls eine Draufsicht (z.B. die X-Y-Ebene) der Öffnungen OP2 betrachtet wird, kann die Form der Öffnungen OP2 eine Kreisform aufweisen. Jedoch ist die Offenbarung nicht darauf begrenzt; in einer alternativen Ausführungsform ist die Form der Öffnungen OP2 auf der Draufsicht zum Beispiel rechteckig, elliptisch, oval, tetragonal, oktogonal oder eine beliebige polygonale Form.
  • In manchen Ausführungsformen ist eine Höhe der Öffnungen OP2 geringer als die Dicke T100 des Halbleitersubstrats 100. In manchen Ausführungsformen reicht eine Breite D2 der Öffnungen OP2 ungefähr von 0,1µm bis 15µm, wobei die Breite D2 entlang einer Richtung senkrecht zu einer Erstreckungsrichtung der Öffnungen OP2 gemessen wird, wie in 13 gezeigt wird. Der Strukturierungsprozess PE2 kann derselbe oder identisch mit dem Strukturierungsprozess PE1 sein, wie in 7 und 8 beschrieben, aber unter Verwendung einer unterschiedlichen strukturierten Maskenschicht und wird daher der Kürze wegen hierin nicht wiederholt. Für veranschaulichende Zwecke begrenzt die Zahl der in 14 gezeigten Öffnungen die Offenbarung nicht und kann basierend auf dem Bedarf und Layoutdesign bezeichnet und ausgewählt werden.
  • In Bezug auf 15 und 16 wird in manchen Ausführungsformen eine dielektrische Schicht 156 auf der dielektrischen Schicht 154 und dem leitfähigen Gitter 160 gebildet und weiter in die Öffnungen OP2 verlängert. Die dielektrische Schicht 156 wird einheitlich über der Bodenoberfläche S100b des Halbleitersubstrats 100 gebildet und deckt Seitenwände (nicht gekennzeichnet) und Bodenoberflächen (nicht gekennzeichnet) der Öffnungen OP2, die Oberseitenoberfläche S154 der dielektrischen Schicht 154 und die Oberseitenoberfläche S160 des leitfähigen Gitters 160 ab. Die dielektrische Schicht 156 kann auch als eine dielektrische Auskleidung (der Öffnungen OP2) bezeichnet werden. Die dielektrische Schicht 156 kann ein geeignetes dielektrisches Material enthalten, wie Siliziumoxid, Siliziumnitrid oder Siliziumoxynitrid. In manchen Ausführungsformen reicht eine Dicke T156 der dielektrischen Schicht 156 ungefähr von 50Å bis 5000Å. Die dielektrische Schicht 156 kann unter Verwendung eines geeigneten Prozesses gebildet werden, der gute Spaltfüllungsfähigkeit aufweist, wie Atomschichtabscheidung ALD. Wie in 15 gezeigt, ist die Dicke T156 der dielektrischen Schicht 156 zum Beispiel geringer als die Dicke T152 der dielektrischen Schicht 152.
  • In Bezug auf 17 und 18 wird in manchen Ausführungsformen ein Strukturierungsprozess PE3 durchgeführt, um eine Mehrzahl von Öffnungen OP3 und eine Mehrzahl von Öffnungen OP4 innerhalb des peripherischen Gebiets PR zu bilden. Der Strukturierungsprozess PE3 kann derselbe oder identisch mit dem Strukturierungsprozess PE1 sein, wie in 7 und 8 beschrieben, aber unter Verwendung einer unterschiedlichen strukturierten Maskenschicht und wird der Kürze wegen daher hierin nicht wiederholt.
  • In manchen Ausführungsformen werden die Öffnungen OP3 gebildet, um die dielektrische Schicht 156 zu durchdringen, um Abschnitte des leitfähigen Gitters 160 innerhalb des peripherischen Gebiets PR zugänglich aufzudecken. Das heißt, die Öffnungen OP3 werden zum Beispiel, wie in 18 gezeigt, in einem vertikalen Fortsatz auf dem Halbleitersubstrat 100 entlang der Richtung Z von dem leitfähigen Gitter 160 überlappt und legen die Oberseitenoberfläche S160 des leitfähigen Gitters 160 frei. Zum Beispiel reicht eine Breite D3 der Öffnungen OP3 ungefähr von 0,01µm bis 5µm. Alternativ können sich die Öffnungen OP3 nicht in die dielektrische Schicht 154 erstrecken. In manchen Ausführungsformen ist die Breite D3 der Öffnungen OP3 im Wesentlichen gleich der Breite D1 der Gräben OP1, wie in 18 gezeigt wird. Alternativ kann die Breite D3 der Öffnungen OP3 größer als die Breite D1 der Gräben OP1 sein oder die Breite D3 der Öffnungen OP3 kann geringer als die Breite D1 der Gräben OP1 sein; so lange eine elektrische Verbindung zwischen dem leitfähigen Gitter 160 und einer später gebildeten Komponente (z.B. 170 und/oder 174 von 19) ordentlich eingerichtet ist.
  • Andererseits werden die Öffnungen OP4 in den Öffnungen OP2 gebildet, um durch die dielektrische Schicht 156 (die über der Bodenoberfläche der Öffnungen OP2 liegt) und den Rest der zweiten Isolation 110 zu dringen, um eine Schicht (z.B. eine leitfähige Leitung 124, die am weitesten entfernt von der Oberseitenoberfläche S120t ist) der Metallisierungsschichten des Interconnects 120 freizulegen. Mit anderen Worten, eine Oberfläche S124 der leitfähigen Leitung 124 ist zugänglich von den Öffnungen OP4 aufgedeckt. Eine der Öffnungen OP2 kommuniziert räumlich mit einer jeweiligen der Öffnungen OP4. Zum Beispiel reicht eine Breite D4 der Öffnungen OP4 ungefähr von 0,08µm bis 14,8µm, wobei die Breite D4 entlang einer Richtung senkrecht zu einer Erstreckungsrichtung der Öffnungen OP4 gemessen wird, wie in 17 gezeigt wird.
  • Wenn eine Draufsicht (z.B. die X-Y-Ebene) der Öffnungen OP3 und OP4 berücksichtigt werden, kann die Form der Öffnungen OP3 eine rechteckige Form aufweisen und die Form der Öffnungen OP4 kann eine Kreisform aufweisen. Jedoch ist die Offenbarung nicht darauf begrenzt; in einer alternativen Ausführungsform ist die Form der Öffnungen OP3 und OP4 in der Draufsicht zum Beispiel kreisförmig, rechteckig, elliptisch, oval, tetragonal, oktogonal oder eine beliebige geeignete polygonale Form, basierend auf dem Bedarf und Layoutdesign. Für veranschaulichende Zwecke begrenzt die Zahl der in 17 gezeigten Öffnungen OP3 und OP4 die Offenbarung nicht und kann basierend auf dem Bedarf und Layoutdesign gekennzeichnet und ausgewählt werden. Zum Beispiel können die Zahlen der Öffnungen OP3 und OP4 unabhängig voneinander eins oder mehr als eins sein.
  • In Bezug auf 19 und 20 wird in manchen Ausführungsformen ein leitfähiges Strukturelement, das eine Mehrzahl von leitfähigen Strukturelementen 162, eine Mehrzahl von leitfähigen Strukturelementen 170 und eine Mehrzahl von leitfähigen Strukturelementen 174 aufweist, auf der dielektrischen Schicht 156 innerhalb des peripherischen Gebiets PR gebildet. In manchen Ausführungsformen sind die leitfähigen Strukturelemente 162 elektrisch mit den leitfähigen Strukturelementen 170 durch die leitfähigen Strukturelemente 174 verbunden, wobei die leitfähigen Strukturelemente 162, 170 und 174 ganzheitlich gebildet sind.
  • In manchen Ausführungsformen werden die leitfähigen Strukturelemente 162 in den Öffnungen OP3 gebildet, um in Kontakt mit dem leitfähigen Gitter 160 zu sein, sodass die leitfähigen Strukturelemente 162 elektrisch mit dem leitfähigen Gitter 160 verbunden sind. Zum Beispiel, wie in 19 gezeigt, werden die leitfähigen Strukturelemente 162 mit den Öffnungen OP3 gefüllt. Zum Beispiel werden veranschaulichte Oberseitenoberflächen (nicht gekennzeichnet) der leitfähigen Strukturelemente 162 als Oberflächen betrachtet, die im Wesentlichen komplanar mit einer Oberseitenoberfläche S156 der dielektrischen Schicht 156 sind und veranschaulichte Bodenoberflächen (nicht gekennzeichnet) der leitfähigen Strukturelemente 162 werden als Oberflächen betrachtet, die im Wesentlichen komplanar mit der Oberseitenoberfläche S160 des leitfähigen Gitters 160 sind. Jedoch ist die Offenbarung nicht darauf begrenzt; alternativ können die leitfähigen Strukturelemente 162 in einer Form leitfähiger Auskleidungen der Öffnungen OP3 gebildet werden.
  • In manchen Ausführungsformen werden die leitfähigen Strukturelemente 170 in den Öffnungen O2 und Öffnungen OP4 gebildet, in Kontakt mit der freigelegten Schicht der Metallisierungsschichten des Interconnects 120 zu sein, sodass die leitfähigen Strukturelemente 170 elektrisch mit dem Interconnect 120 verbunden sind. Zum Beispiel, wie in 19 gezeigt, werden die leitfähigen Strukturelemente 170 in einer Form leitfähiger Auskleidungen gebildet, die Innenseitenwände S156i der dielektrischen Schicht 156 abdecken, die an den Seitenwänden der Öffnungen OP2 liegen und sich weiter in die Öffnungen OP4 erstrecken, um Seitenwände (nicht gekennzeichnet) und Bodenoberflächen (nicht gekennzeichnet) der Öffnungen OP4 abzudecken. Zum Beispiel werden veranschaulichte Oberseitenoberflächen (nicht gekennzeichnet) der leitfähigen Strukturelemente 170 als Oberflächen betrachtet, die im Wesentlichen komplanar zu der Oberseitenoberfläche S156 der dielektrischen Schicht sind. In manchen Ausführungsformen weisen die leitfähigen Strukturelemente 170 jeweils einen ersten Abschnitt 170a in der Öffnung OP2 und einen zweiten Abschnitt 170b in der Öffnung OP4 auf. Zum Beispiel, wie in 19 gezeigt, werden die leitfähigen Strukturelemente 170 elektrisch mit dem Interconnect 120 verbunden, indem die zweiten Abschnitte 170b und die freigelegte Schicht der Metallisierungsschichten des Interconnects physisch und elektrisch verbunden werden und die leitfähigen Strukturelemente 170 elektrisch mit den leitfähigen Strukturelementen 174 verbunden werden, indem die ersten Abschnitte 170a und die leitfähigen Strukturelemente 174 physisch und elektrisch verbunden werden. Die leitfähigen Strukturelemente 170 können als leitfähige Strukturen 170 bezeichnet werden, wobei jeder erste Abschnitt 170a als ein leitfähiger Körper bezeichnet werden kann und jeder zweite Abschnitt 170b als eine leitfähige Durchkontaktierung des leitfähigen Körpers bezeichnet werden kann. Wie in 19 gezeigt, weisen die leitfähigen Strukturen 170 jeweils eine stufenförmige Kontur (oder ein Profil) auf, wobei die Innenseitenwände S170i und die Außenseitenwände S1700 der leitfähigen Struktur 170 in der Querschnittansicht zum Beispiel jeweils eine gekrümmte Linie (z.B. keine gerade Linie) aufweisen.
  • In manchen Ausführungsformen werden die leitfähigen Strukturelemente 174 auf der Oberseitenoberfläche S156 der dielektrischen Schicht 156 gebildet, um mit den leitfähigen Strukturelementen 170 und den leitfähigen Strukturelementen 162 in Kontakt zu sein, sodass die leitfähigen Strukturelemente 174 elektrisch mit den leitfähigen Strukturelementen 162 und 170 verbunden sind. Mit anderen Worten, die leitfähigen Strukturelemente 174 sind strukturierte leitfähige Schichten, die sich zwischen den leitfähigen Strukturelementen 162 und den leitfähigen Strukturelementen 170 erstrecken, um eine ordentliche elektrische Verbindung dazwischen bereitzustellen. Zum Beispiel werden veranschaulichte Bodenoberflächen (nicht gekennzeichnet) der leitfähigen Strukturelemente 174 als Oberflächen betrachtet, die im Wesentlichen komplanar mit der Oberseitenoberfläche S156 der dielektrischen Schicht 156 sind. Die leitfähigen Strukturelemente 174 können als leitfähige Strukturanordnungen 174 bezeichnet werden.
  • Die Bildung des leitfähigen Strukturelements, das die leitfähigen Strukturelemente 162, 170 und 170 aufweist, kann durch, aber nicht begrenzt auf, Bilden einer leitfähigen Materialschicht (nicht gezeigt) über dem Halbleitersubstrat 100 entlang der Bodenoberfläche S100b, um die in 17 und 18 abgebildete Struktur abzudecken, gebildet werden, wobei sich die leitfähige Materialschicht in die Öffnungen OP2, OP3 und OP4 erstreckt; und ein Strukturierungsprozess PE4 wird an der leitfähigen Materialschicht durchgeführt, um gleichzeitig die leitfähigen Strukturelemente 162, die leitfähigen Strukturelemente 170 und die leitfähigen Strukturelemente 174 zu bilden. Der Strukturierungsprozess PE4 kann derselbe oder identisch mit dem Strukturierungsprozess PE1 sein, wie in 7 und 8 beschrieben, aber unter Verwendung einer unterschiedlichen strukturierten Maskenschicht und wird daher der Kürze wegen hierin nicht wiederholt. Die leitfähige Materialschicht kann gleich oder ähnlich dem Material der leitfähigen Materialschicht 160m wie in 9 und FIGUR o beschrieben sein und wird daher der Kürze wegen hierin nicht wiederholt. Als ein Beispiel wird das leitfähige Gitter 160 aus Al hergestellt und die leitfähigen Strukturelemente 162, 170 und 174 werden auch aus Al hergestellt. Als ein andres Beispiel wird das leitfähige Gitter 160 aus Al hergestellt und die leitfähigen Strukturelemente 162, 170 und 174 werden aus W hergestellt. Wie in 19 gezeigt, wird das leitfähige Gitter 160 elektrisch mit dem Interconnect 120 durch die leitfähigen Strukturelemente 162, die leitfähigen Strukturen 170 und die leitfähigen Strukturanordnungen 174 verbunden.
  • In der Offenbarung kann, obwohl mehrere leitfähige Strukturanordnungen 174 übernommen sind, um die leitfähigen Strukturen 170 und das leitfähige Gitter 160 elektrisch zu verbinden, eine leitfähige Strukturanordnung 174 über und elektrisch verbunden mit all den leitfähigen Strukturen 170 sein, um die leitfähigen Strukturen 170 und das leitfähige Gitter 160 elektrisch zu verbinden. Mit anderen Worten, eine leitfähige Strukturanordnung 174 kann zum Beispiel elektrisch eine leitfähige Struktur 170 mit dem leitfähigen Gitter 160 verbinden oder elektrisch zwei oder mehr als zwei leitfähige Strukturen 170 mit dem leitfähigen Gitter 160 verbinden. Die Zahl der leitfähigen Strukturanordnungen 174 ist in der Offenbarung nicht begrenzt. In der Offenbarung können zwei oder mehr leitfähige Strukturen 170 mit einer leitfähigen Leitung 124 der obersten Schicht der Metallisierungsschichten des Interconnects 120 verbunden werden, die gleichzeitig von den jeweiligen zwei oder mehr Öffnungen OP4 freigelegt wird. Zum Beispiel werden alle zwei leitfähigen Strukturen 170 gemeinsam mit einer leitfähigen Leitung 124 der obersten Schicht der Metallisierungsschichten des Interconnects 120 verbunden, wobei die leitfähige Leitung 124 von zwei Öffnungen OP4 freigelegt wird. Jedoch ist die Offenbarung nicht darauf begrenzt; alternativ kann jede der leitfähigen Strukturen 170 mit einer leitfähigen Leitung 124 der obersten Schicht der Metallisierungsschichten des Interconnects 120 verbunden sein, die von einer jeweiligen Öffnung OP4 freigelegt wird. Mit anderen Worten, die leitfähigen Strukturen 170 werden mit unterschiedlichen leitfähigen Leitungen 124 der obersten Schicht der Metallisierungsschichten des Interconnects 120 verbunden. Oder zwei oder mehr leitfähige Strukturen 170 in einem Abschnitt der leitfähigen Strukturen 170 können mit einer leitfähigen Leitung 124 der obersten Schicht der Metallisierungsschichten des Interconnects 120 verbunden werden, während jede leitfähige Struktur 170 in dem Rest der leitfähigen Strukturen 170 mit einer leitfähigen Leitung 124 der obersten Schicht der Metallisierungsschichten des Interconnects 120 verbunden werden kann.
  • In Bezug auf 21 und 22 wird in manchen Ausführungsformen eine dielektrische Schicht 158 auf der in 19 und 20 abgebildeten Struktur gebildet, um die leitfähigen Strukturanordnungen 174 abzudecken. Die dielektrische Schicht 158 kann ein geeignetes dielektrisches Material enthalten, wie Siliziumoxid, Siliziumnitrid oder Siliziumoxynitrid. In manchen Ausführungsformen reicht eine Dicke T158 der dielektrischen Schicht 158 ungefähr von 50Å bis 5000Å. Die dielektrische Schicht 158 kann unter Verwendung eines geeigneten Prozesses gebildet werden, wie CVD, physikalischer Gasphasenabscheidung (PVD), thermischer Oxidation, UV-Ozon-Oxidation oder eine Kombination davon. Wie in 21 gezeigt, ist die Dicke T158 der dielektrischen Schicht 158 zum Beispiel größer als die Dicke T156 der dielektrischen Schicht 156, die Dicke T154 der dielektrischen Schicht 154 und die Dicke T150 der dielektrischen Schicht 150. In manchen Ausführungsformen kann die Bildung der dielektrischen Schicht 158 weiter einen Planarisierungsprozess, wie einen CMP-Prozess, umfassen, sodass die dielektrische Schicht 158 gebildet wird, eine im Wesentlichen planare Oberseitenoberfläche aufzuweisen. In einer Ausführungsform kann das Material der dielektrischen Schicht 158 dasselbe wie das Material der dielektrischen Schicht 156 sein, wobei es keine individuelle Grenzfläche zwischen den dielektrischen Schichten 156 und 158 gibt. In einer alternativen Ausführungsform unterscheidet sich das Material der dielektrischen Schicht 158 von dem Material der dielektrischen Schicht 156, wobei eine Grenzfläche zwischen den dielektrischen Schichten 156 und 158 besteht. Die dielektrische Schicht 158 kann als eine Passivierungsschicht bezeichnet werden, die einen hohen Grad an Ebenheit und Flachheit aufweist, was für die später gebildeten Schichten/Elemente (z.B. Farbfilter, Mikrolinsen und/oder dergleichen) vorteilhaft ist.
  • In Bezug auf 23 werden in manchen Ausführungsformen eine Lichtfilterschicht 180 (eine Mehrzahl von Farbfiltern 182, 184 und 186 aufweisend) und Mikrolinsen 190 auf der dielektrischen Schicht 158 und über der Isolationsstruktur GS innerhalb des aktiven Gebiets AR angeordnet. Wie in 23 gezeigt, entspricht zum Beispiel jeder der Farbfilter 182,184 und 186 einem fotosensitiven Bauelement PD, das nicht von der Isolationsstruktur GS abgedeckt wird, wobei jede der Mikrolinsen 190 einem der Farbfilter 182, 184 und 186 entspricht. Jedoch ist die Offenbarung nicht darauf begrenzt; in alternativen Ausführungsformen entspricht jeder der Farbfilter 182,184 und 186 einem oder mehreren fotosensitiven Bauelementen PD, die nicht von der Isolationsstruktur GS abgedeckt sind, wobei jede der Mikrolinsen 190 einem der Farbfilter 182, 184 und 186 entspricht.
  • Die Farbfilter 182,184 und 186 weisen obere Oberflächen auf, die ungefähr eben mit der Oberseitenoberfläche der dielektrischen Schicht 158 sind, die Farbfilter 182, 184 und 186 sind entsprechenden Farben oder Wellenlängen von Licht zugewiesen und konfiguriert, alle außer die zugewiesenen Farben oder Wellenlängen von Licht herauszufiltern. Die Farbfilterzuweisungen wechseln zwischen rotem, grünem und blauem Licht ab, sodass die Farbfilter 182, 184 und 186 rote Farbfilter 182, grüne Farbfilter 184 und blaue Farbfilter 186 aufweisen. In manchen Ausführungsformen wechseln die Farbfilterzuweisungen zwischen rotem, grünem und blauem Licht gemäß einem Bayer-Filter-Mosaik ab. Andere Kombinationen, wie Zyan, Gelb und Magenta können ebenso verwendet werden. Die Zahl unterschiedlicher Farben der Farbfilter 182, 184 und 184 kann ebenso variieren. In Übereinstimmung mit einer Ausführungsform kann die Lichtfilterschicht 180 ein pigmentiertes oder gefärbtes Material enthalten, wie ein Acryl. Zum Beispiel sind Polymethyl-Methacrylat (PMMA)oder Polyglycidylmethacrylat (PGMS) geeignete Materialien, mit denen ein Pigment oder Farbstoff hinzugefügt werden kann, um die Lichtfilterschicht 180 zu bilden. Es können jedoch andere Materialien verwendet werden. Die Lichtfilterschicht 180 kann durch ein beliebiges geeignetes Verfahren am Stand der Technik gebildet werden.
  • Die Mikrolinsen 190 sind über der Lichtfilterschicht 180 angeordnet und konfiguriert, das einfallende Licht L (24) zum Beispiel zu den fotosensitiven Bauelementen PD zu fokussieren. Die Mikrolinsen 190 können aus einem beliebigen Material gebildet werden, das strukturiert und zu Linsen gebildet werden kann, wie hochdurchlässiges Acrylpolymer. Die Mikrolinsen 190 können mit einem beliebigen geeigneten Verfahren am Stand der Technik gebildet werden. Die Mikrolinsen 190 sind mit den fotosensitiven Bauelementen PD der entsprechenden Pixel 11 zentriert und symmetrisch um vertikale Achsen, die auf den fotosensitiven Bauelementen PD zentriert sind, wie in 23 gezeigt wird. Weiter liegen benachbarte Ränder der Mikrolinsen 190 aneinander an.
  • In Bezug auf 24 wird in manchen Ausführungsformen der Träger 50 von dem Interconnect 120 debondet, um die Oberseitenoberfläche S120t freizulegen. In manchen Ausführungsformen wird die Oberseitenoberfläche S120t des Interconnects 120 aufgrund der Debond-Schicht 52 leicht von dem Träger 50 getrennt. In manchen Ausführungsformen wird der Träger 50 von der Oberseitenoberfläche Si20t des Interconnects 120 durch einen Debonding-Prozess gelöst und der Träger 50 und die Debond-Schicht 52 werden entfernt. In gewissen Ausführungsformen wird die äußerste Schicht (z.B. 124) der Metallisierungsschichten des Interconnects 120 zugänglich aufgedeckt, wie in 24 gezeigt wird. In einer Ausführungsform ist der Debonding-Prozess ein Laser-Debonding-Prozess. Bis hierher wird das Bildsensorbauelement 1000a hergestellt.
  • Es versteht sich, dass ein Vereinzelungsprozess (Singulierungsprozess) durchgeführt wird, um eine Mehrzahl von den Bildsensorbauelementen 1000a, die untereinander verbunden sind, in individuelle und separate (Halbleiter-) Bildsensorbauelemente 1000a zu schneiden, wenn das Bildsensorbauelement 1000a in einem Waferlevel-Prozess gefertigt ist. In einer Ausführungsform ist der Vereinzelungsprozess (Singulierungsprozess) ein Wafer-Vereinzelungsprozess, der mechanisches Blattsägen oder Laserschneiden umfasst, die Offenbarung ist jedoch nicht darauf begrenzt. Während des Debonding-Schritts wird die in 23 abgebildete Struktur gewendet (umgedreht) und durch eine Haltebauelement (nicht gezeigt) gesichert, bevor der Träger 50 und die Debond-Schicht 52 debondet werden. Nach dem Debonding-Prozess und dem Vereinzelungsprozess (Singulierungsprozess) werden die Bildsensorbauelemente 1000a aus dem Haltebauelement freigegeben.
  • Wie in 24 veranschaulicht, wird zum Beispiel, da das Bildsensorbauelement 1000a das Halbleitersubstrat 100 vom P-Typ aufweist, die negative Vorspannung Nb an das Interconnect 120 angelegt, wobei die negative Vorspannung Nb an das leitfähige Gitter 160 durch die leitfähigen Strukturen 170 übertragen wird, die elektrisch mit des Interconnects 120 verbunden in dem peripherischen Gebiet PR gebildet sind, wobei die hohe Konzentration negativer Ladungen in der Isolationsstruktur GS Lochansammlungen (als „HA“ bezeichnet) entlang von Seitenwänden der Isolationsstruktur GS erzeugen würde und Elektronen in dem Halbleitersubstrat 100 daran hindern würde, nahe der Isolationsstruktur GS gefangen zu werden, um Kriechstrom wie auch Übersprechen zwischen benachbarten Pixeln 11 zu reduzieren. Jedoch ist die Offenbarung nicht darauf begrenzt; in einer alternativen Ausführungsform (nicht gezeigt) bei der das Bildsensorbauelement 1000a das Halbleitersubstrat 100 vom n-Typ aufweist, wird die positive Vorspannung an das leitfähige Gitter 160 durch die leitfähigen Strukturen 170 angelegt, die in dem peripherischen Gebiet PR gebildet sind, das elektrisch mit dem Interconnect 120 verbunden ist, wobei die hohe Konzentration positiver Ladungen in der Isolationsstruktur GS Elektronenansammlungen entlang von Seitenwänden der Isolationsstruktur GS erzeugen würde und Elektronenlöcher in dem Halbleitersubstrat 100 daran hindern würde, nahe der Isolationsstruktur GS gefangen zu werden, um Kriechstrom wie auch Übersprechen zwischen benachbarten Pixeln 11 zu reduzieren. Mit solch einer Isolationsstruktur GS wird eine bessere Isolation für die fotosensitiven Bauelemente PD bereitgestellt und damit die Arbeitsleistung des Bildsensors 10 verbessert.
  • In alternativen Ausführungsformen wird ein Abschnitt der dielektrischen Schicht 156, der sich entlang der X-Y-Ebene erstreckt, entfernt. 25 ist eine schematische vertikale Ansicht, die einen Bildsensor zeigt, der in einer Halbleiterstruktur (z.B. ein (Halbleiter-) Bildsensorbauelement 1000b) aufgewiesen ist, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung. Die Elemente ähnlich oder im Wesentlichen gleich den zuvor beschriebenen Elementen werden dieselben Bezugsnummern verwenden und gewisse Details oder Beschreibungen derselben Elemente müssen hierin nicht wiederholt werden. Die Bildsensorbauelemente 1000b von 25 ist ähnlich den Bildsensorbauelementen 1000a von 24, wobei der Unterschied ist, dass in den Bildsensorbauelementen 1000b von 25 die dielektrische Schicht 156 durch eine dielektrische Schicht 156A ersetzt wird und die leitfähigen Strukturelemente 162 ausgelassen werden. Zum Beispiel, wie in 25 gezeigt, ist die dielektrische Schicht 156A nur bei den Seitenwänden der Öffnungen OP2 angeordnet.
  • In manchen Ausführungsformen liegt die dielektrische Schicht 156A in der vertikalen Projektion auf dem Halbleitersubstrat 100 entlang der Richtung Z nur innerhalb der Öffnungen OP2 und die dielektrische Schicht 156A wird nicht von den leitfähigen Strukturen 170 überlappt. Die dielektrische Schicht 156A wird als eine dielektrische Auskleidung der Öffnungen OP2 bezeichnet. Die Bildung und das Material der dielektrischen Schicht 156A sind ähnlich dem Prozess und Material zum Bilden der dielektrischen Schicht 156, wie in 15 bis 18 beschrieben wird, außer dass eine Ätzmaske mit einer unterschiedlichen Strukturanordnung verwendet wird, und sie werden daher hierin nicht wiederholt. Alternativ kann die dielektrische Schicht 156A auch durch einen deckenden Ätzprozess gebildet werden, wobei keine Fotomaske als eine Ätzmaske während des Ätzens verwendet wird und der in Verbindung mit 29 bis 38 in detaillierter besprochen wird. Mit solch einer Konfiguration wird eine Gesamtdicke des Bildsensorbauelements (z.B. 1000b) weiter reduziert, ohne die Isolationsfähigkeit der Isolationsstruktur GS weiter zu reduzieren. Zum Beispiel ist eine Gesamtdicke (in Richtung Z) des Bildsensorbauelements 1000b geringer als die Gesamtdicke (in Richtung Z) des Bildsensorbauelements 1000a.
  • Alternativ können die leitfähigen Strukturen jeweils eine Kontur (oder ein Profil) einer nichtabgestuften Form aufweisen, wie leitfähige Strukturen 170A. 26 und 27 sind schematische vertikale und horizontale Ansichten, die einen Bildsensor zeigen, der in einer Halbleiterstruktur (z.B. ein (Halbleiter-) Bildsensorbauelement 1000c) aufgewiesen ist, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung. 28 ist eine schematische vertikale Ansicht, die einen Bildsensor zeigt, der in einer Halbleiterstruktur (z.B. ein (Halbleiter-) Bildsensorbauelement 1000d) aufgewiesen ist, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung. Die Elemente ähnlich oder im Wesentlichen gleich den zuvor beschriebenen Elementen werden dieselben Bezugsnummern verwenden und gewisse Details oder Beschreibungen derselben Elemente müssen hierin nicht wiederholt werden. Die Bildsensorbauelemente 1000c von 26 und 27 sind ähnlich den Bildsensorbauelementen 1000a von 24, wobei der Unterschied der ist, dass in den Bildsensorbauelementen 1000c von 26 und 27 die leitfähigen Strukturen 170 durch die leitfähigen Strukturen 170A ersetzt werden. Zum Beispiel, wie in der Querschnittansicht von 26 gezeigt, sind Innenseitenwände S170Ai und Außenseitenwände S170Ao der leitfähigen Strukturen 170A eine gerade Linie (z.B. nicht eine gekrümmte Linie). Mit anderen Worten, es gibt keine Biegung bei den Innenseitenwänden S170Ai und den Außenseitenwänden S170Ao der leitfähigen Strukturen 170A.
  • In manchen Ausführungsformen, wie in 26 und 27 gezeigt, wird anstelle vom Bilden der Öffnungen OP4 eine Mehrzahl der Öffnungen OP5 gebildet, um die zweiten Isolationen 110 zu durchdringen, wobei Seitenwände der Öffnungen OP5 mit den Innenseitenwänden S156i der dielektrischen Schicht 156 ausgerichtet sind. Zum Beispiel reicht eine Breite D5 der Öffnungen OP5 ungefähr von 0,08µm bis 14,8µm, wobei die Breite D5 entlang einer Richtung senkrecht zu einer Erstreckungsrichtung der Öffnungen OP5 gemessen wird, wie in 26 gezeigt wird. In manchen Ausführungsformen ist die Größe (z.B. D5) der Öffnungen OP5 größer als die Größe (z.B. D4) der Öffnungen OP4. Die Bildung und das Material der Öffnungen OP5 sind ähnlich dem Prozess und Material zum Bilden der Öffnungen OP4, wie in 17 bis 18 beschrieben wird, außer dass eine Ätzmaske mit einer unterschiedlichen Strukturanordnung verwendet wird, und sie werden daher hierin nicht wiederholt. In manchen Ausführungsformen ist eine Breite der dielektrischen Schicht 156 innerhalb der leitfähigen Strukturen 170 konstant, wenn entlang der Richtung (z.B. Die Richtung X und/oder Y) senkrecht zu der Richtung Z gemessen. Aufgrund der leitfähigen Strukturen 170A wird ein Kontaktbereich zwischen den leitfähigen Strukturen 170A und dem Interconnect 120 erhöht, was den Kontaktwiderstand dazwischen reduziert; dadurch wird die Isolationsfähigkeit der Isolationsstruktur GS verstärkt und das Verbessern der Arbeitsleistung des Bildsensors 10 weiter verbessert.
  • Alternativ kann ähnlich dem Bildsensorbauelement 1000b die dielektrische Schicht 156 des Bildsensorbauelements 1000C durch die dielektrische Schicht 156A ersetzt werden, was die Gegenwart der leitfähigen Strukturelemente 162 ausspart, siehe das Bildsensorbauelement 1000d wie in 28 gezeigt.
  • 29 bis 38 sind schematische vertikale und horizontale Ansichten, die ein Herstellungsverfahren eines Bildsensors zeigen, der in eine Halbleiterstruktur (z.B. ein (Halbleiter-) Bildsensorbauelement 2000a) aufgewiesen ist, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung, wobei 29, 31, 33, 35 und 37 die Querschnittansichten entlang Linien A-A und B-B, die in 30, 32, 34, 36 und 38 abgebildet sind, sind. Die Elemente ähnlich oder im Wesentlichen gleich den zuvor beschriebenen Elementen werden dieselben Bezugsnummern verwenden und gewisse Details oder Beschreibungen derselben Elemente müssen hierin nicht wiederholt werden.
  • In Bezug auf 29 und 30 wird in manchen Ausführungsformen eine dielektrische Schicht 156B auf der dielektrischen Schicht 154 und dem leitfähigen Gitter 160 gebildet und weiter in die Öffnungen OP2 verlängert, gefolgt von dem Prozess, wie er in 13 und 14 beschrieben wird. Zum Beispiel, wie in 29 gezeigt, deckt die dielektrische Schicht 156B Seitenwände und Bodenoberflächen der Öffnungen OP2, die Oberseitenoberfläche S154 der dielektrischen Schicht 154 und die Oberseitenoberfläche S160 des leitfähigen Gitters 160 ab. In manchen Ausführungsformen weist die dielektrische Schicht 156B einen ersten Abschnitt (nicht gekennzeichnet), der sich entlang der X-Y-Ebene außerhalb der Öffnungen OP2 erstreckt, eine Mehrzahl von zweiten Abschnitten (nicht gekennzeichnet), die sich entlang der Richtung Z innerhalb der Öffnungen OP2 erstrecken, und eine Mehrzahl von dritten Abschnitten (nicht gekennzeichnet), die sich entlang der X-Y-Ebene innerhalb der Öffnungen OP2 erstrecken, auf, wobei die dritten Abschnitte jeweils mit dem ersten Abschnitt durch die zweiten Abschnitte verbunden sind. In manchen Ausführungsformen weisen der erste Abschnitt und die dritten Abschnitte der dielektrischen Schicht 156B jeweils eine Dicke T156h auf, die ungefähr von 50Å bis 5000Å reicht, wenn entlang der Richtung Z gemessen. In manchen Ausführungsformen weisen die zweiten Abschnitte der dielektrischen Schicht 156B jeweils eine Dicke T156v auf, die ungefähr von 50Å bis 5000Å reicht, wenn entlang der Richtung (z.B. X und/oder Y) senkrecht zu der Richtung Z gemessen. Zum Beispiel ist die Dicke T156h geringer als die Dicke T156v. Alternativ kann die Dicke T156h im Wesentlichen gleich der Dicke T156v sein. Wie in 29 gezeigt, ist die Dicke T156h konstant, während zum Beispiel die Dicke T156v schrittweise von den Oberseitenöffnungen zu den Bodenoberflächen der Öffnungen OP2 zunimmt. Das heißt die Dicke T156v ist nicht konstant. Die Bildung und das Material der dielektrischen Schicht 156B sind ähnlich oder gleich dem Prozess und dem Material der dielektrischen Schicht 156, wie in 15 und 18 beschrieben und werden daher der Kürze wegen hierin nicht wiederholt.
  • In Bezug auf 31 und 32 wird in manchen Ausführungsformen ein Strukturierungsprozess BE1 an der Struktur durchgeführt, die in 29 und 30 abgebildet ist, um eine Mehrzahl von Öffnungen OP4 zu bilden. Zum Beispiel werden die Öffnungen OP4 in den Öffnungen OP2 gebildet, um durch die dielektrische Schicht 156B und den Rest der zweiten Isolation 110 zu dringen, um eine Schicht (z.B. eine leitfähige Leitung 124, die am weitesten von der Oberseitenoberfläche S120t entfernt ist) der Metallisierungsschichten des Interconnects 120 freizulegen. Mit anderen Worten, eine Oberfläche S124 der leitfähigen Leitung 124 ist zugänglich durch die Öffnungen OP4 aufgedeckt. Eine der Öffnungen OP2 kommuniziert räumlich mit einer jeweiligen der Öffnungen OP4. Der Strukturierungsprozess BE1 ist zum Beispiel ein deckender Ätzprozess unter Verwendung keiner Fotomaske während des Ätzens. In manchen Ausführungsformen ist der deckende Ätzprozess BE1 ein anisotropes Ätzen, das global bei der Bodenoberfläche S100b des Halbleitersubstrats 100 (z.B. an beiden aktiven Gebieten AR und peripherischen Gebieten PR) durchgeführt wird, um gleichzeitig den ersten Abschnitt, die zweiten Abschnitte und die dritten Abschnitte der dielektrischen Schicht 156B zu strukturieren, wobei der erste Abschnitt und die dritten Abschnitte der dielektrischen Schicht 156B vollständig entfernt sind, während manches jedes der zweiten Abschnitte immer noch als Reste bei den Seitenwänden der Öffnungen OP2 angeordnet verbleibt. Die dielektrische Schicht 156B (z.B. die verbleibenden zweiten Abschnitte) können auch als eine dielektrische Auskleidung (der Öffnungen OP2) bezeichnet werden, die eine nicht konstante Dicke T156v aufweist. Wie in 31 und 32 gezeigt, werden zum Beispiel Oberseitenoberflächen S156B der zweiten Abschnitte der dielektrischen Schicht 156B, die Oberseitenoberfläche S154 der dielektrischen Schicht 154 und die Oberseitenoberfläche S160 des leitfähigen Gitters 160 zugänglich aufgedeckt.
  • In Bezug auf 33 und 34 wird in manchen Ausführungsformen ein leitfähiges Strukturelement, das eine Mehrzahl von leitfähigen Strukturelementen 172 aufweist, und eine Mehrzahl von leitfähigen Strukturelementen 174 auf den dielektrischen Schichten 154 und 156B innerhalb des peripherischen Gebiets PR gebildet. In manchen Ausführungsformen sind die leitfähigen Strukturelemente 170 elektrisch mit den leitfähigen Strukturelementen 174 durch direkten Kontakt verbunden. Zum Beispiel werden die leitfähigen Strukturelemente 170 und 174 ganzheitlich gebildet.
  • In manchen Ausführungsformen werden die leitfähigen Strukturelemente 172 in den Öffnungen O2 und Öffnungen OP4 gebildet, in Kontakt mit der freigelegten Schicht der Metallisierungsschichten des Interconnects 120 zu sein, sodass die leitfähigen Strukturelemente 172 elektrisch mit dem Interconnect 120 verbunden sind. Zum Beispiel, wie in 33 gezeigt, werden die leitfähigen Strukturelemente 172 in einer Form leitfähiger Säulen in Kontakt mit Innenseitenwänden S156Bi der dielektrischen Schicht 156B gebildet, die bei den Seitenwänden der Öffnungen OP2 liegen, und erstrecken sich weiter in die Öffnungen OP4, um in Kontakt mit Seitenwänden (nicht gekennzeichnet) und Bodenoberflächen (nicht gekennzeichnet) der Öffnungen OP4 zu sein. Zum Beispiel werden veranschaulichte Oberseitenoberflächen (nicht gekennzeichnet) der leitfähigen Strukturelemente 172 als Oberflächen betrachtet, die im Wesentlichen komplanar mit der Oberseitenoberfläche S154 der dielektrischen Schicht 154 und der Oberseitenoberfläche S156B der dielektrischen Schicht 156B sind. In manchen Ausführungsformen weisen die leitfähigen Strukturelemente 172 jeweils einen ersten Abschnitt 172a in der Öffnung OP2 und einen zweiten Abschnitt 172b in der Öffnung OP4 auf. Zum Beispiel, wie in 33 gezeigt, werden die leitfähigen Strukturelemente 172 elektrisch mit dem Interconnect 120 verbunden, indem die zweiten Abschnitte 172b und die freigelegte Schicht der Metallisierungsschichten des Interconnects 120 physisch und elektrisch verbunden werden und die leitfähigen Strukturelemente 172 elektrisch mit den leitfähigen Strukturelementen 174 verbunden werden, indem die ersten Abschnitte 172a und die leitfähigen Strukturelemente 174 physisch und elektrisch verbunden werden. Die leitfähigen Strukturelemente 172 können als leitfähige Strukturen 172 bezeichnet werden, wobei jeder erste Abschnitt 172a als ein leitfähiger Körper bezeichnet werden kann und jeder zweite Abschnitt 172b als eine leitfähige Durchkontaktierung des leitfähigen Körpers bezeichnet werden kann. Wie in 33 gezeigt, weisen die leitfähigen Strukturen 172 jeweils eine stufenförmige Kontur (oder ein Profil) auf, wobei die Seitenwände S172 der leitfähigen Struktur 172 in der Querschnittansicht zum Beispiel jeweils eine gekrümmte Linie (z.B. nicht eine gerade Linie) sind.
  • In manchen Ausführungsformen werden die leitfähigen Strukturelemente 174 auf der Oberseitenoberfläche S154 der dielektrischen Schicht 154 und der Oberseitenoberfläche S156B der dielektrischen Schicht 156B gebildet, um in Kontakt mit den leitfähigen Strukturelementen 172 und dem leitfähigen Gitter 160 der Isolationsstruktur GS zu sein, sodass die leitfähigen Strukturelemente 174 elektrisch mit den leitfähigen Strukturelementen 172 und dem leitfähigen Gitter 160 der Isolationsstruktur GS verbunden sind. Mit anderen Worten, die leitfähigen Strukturelemente 174 sind planare leitfähige Schichten, die sich zwischen dem leitfähigen Gitter 160 der Isolationsstruktur GS und den leitfähigen Strukturelementen 172 erstrecken, um eine ordentliche elektrische Verbindung dazwischen bereitzustellen. Zum Beispiel werden veranschaulichte Bodenoberflächen (nicht gekennzeichnet) der leitfähigen Strukturelemente 174 als Oberflächen betrachtet, die im Wesentlichen komplanar mit der Oberseitenoberfläche S154 der dielektrischen Schicht 154 sind. Die leitfähigen Strukturelemente 174 können als leitfähige Strukturanordnungen 174 bezeichnet werden.
  • Die Bildung des leitfähigen Strukturelements, das die leitfähigen Strukturelemente 172 und 174 aufweist, kann gebildet werden durch, aber nicht begrenzt auf, Bilden einer leitfähigen Materialschicht (nicht gezeigt) über dem Halbleitersubstrat 100 entlang der Bodenoberfläche S100b, um die in 31 abgebildete Struktur abzudecken, wobei die leitfähige Materialschicht die Öffnungen OP2 und OP4 füllt; und ein Strukturierungsprozess PE5 wird an der leitfähigen Materialschicht durchgeführt, um gleichzeitig die leitfähigen Strukturelemente 172 und die leitfähigen Strukturelemente 174 zu bilden. Der Strukturierungsprozess PE5 kann derselbe wie oder identisch mit dem Strukturierungsprozess PE1 sein, wie er in 7 und 8 beschrieben wird, aber unter Verwendung einer unterschiedlichen strukturierten Maskenschicht, und wird daher der Kürze wegen hierin nicht wiederholt. Die leitfähige Materialschicht kann dieselbe oder ähnlich wie das Material der leitfähigen Materialschicht 160m sein, die in 9 und 10 beschrieben wird, und wird daher der Kürze wegen hierin nicht wiederholt. Als ein Beispiel wird das leitfähige Gitter 160 aus Al hergestellt und die leitfähigen Strukturelemente 170 und 174 werden auch aus AL hergestellt. Als ein anderes Beispiel wird das leitfähige Gitter 160 aus Al hergestellt und die leitfähigen Strukturelemente 170 und 174 werden aus W hergestellt. Wie in 33 gezeigt, wird das leitfähige Gitter 160 zum Beispiel elektrisch mit dem Interconnect 120 durch die leitfähigen Strukturen 172 und die leitfähigen Strukturanordnungen 174 verbunden. Mit der Gegenwart der leitfähigen Strukturen 172 kann die elektrische Verbindung zwischen der Isolationsstruktur GS und dem Interconnect 120 sichergestellt werden.
  • In manchen Ausführungsformen kann die Bildung der leitfähigen Materialschicht weiter einen Planarisierungsprozess, wie einen CMP-Prozess, umfassen, sodass die leitfähige Materialschicht gebildet wird, eine im Wesentlichen planare Oberseitenoberfläche aufzuweisen. Außerdem, obwohl mehrere leitfähige Strukturanordnungen 174 übernommen werden, um die leitfähigen Strukturen 172 und das leitfähige Gitter 160 elektrisch zu verbinden, kann es eine leitfähige Strukturanordnung 174 über und elektrisch verbunden mit allen der leitfähigen Strukturen 172 geben, um die leitfähigen Strukturen 172 und das leitfähige Gitter 160 elektrisch zu verbinden. Zum Beispiel kann eine leitfähige Strukturanordnung 174 elektrisch eine leitfähige Struktur 172 mit dem leitfähigen Gitter 160 verbinden oder elektrisch zwei oder mehr als zwei leitfähige Strukturen 172 mit dem leitfähigen Gitter 160 verbinden. Die Zahl der leitfähigen Strukturanordnungen 174 ist in der Offenbarung nicht begrenzt.
  • In Bezug auf 35 und 36 wird in manchen Ausführungsformen eine dielektrische Schicht 158 auf der Struktur gebildet, die in 33 und 34 abgebildet ist, um die leitfähigen Strukturanordnungen 174 abzudecken. Die dielektrische Schicht 158 kann als eine Passivierungsschicht bezeichnet werden, die einen hohen Grad an Ebenheit und Flachheit aufweist, was für die später gebildeten Schichten/Elemente (z.B. Farbfilter, Mikrolinsen und/oder dergleichen) vorteilhaft ist. Das Detail der dielektrischen Schicht 158 wurde in 21 und 22 beschrieben und wird daher zur Einfachheit hierin nicht wiederholt. In Bezug auf 37 werden in manchen Ausführungsformen eine Lichtfilterschicht 180 (eine Mehrzahl von Farbfiltern 182, 184 und 186 aufweisend) und Mikrolinsen 190 auf der dielektrischen Schicht 158 und über der Isolationsstruktur GS innerhalb des aktiven Gebiets AR angeordnet. Das Detail der Lichtfilterschicht 180 und die Mikrolinsen 190 wurden in 23 beschrieben und werden daher zur Einfachheit hierin nicht wiederholt. In Bezug auf 38 kann in manchen Ausführungsformen der zuvor beschriebene Herstellungsprozess wie in 24 oben beschrieben, auf der Struktur durchgeführt werden, die in 37 abgebildet ist, um das in 38 abgebildete Bildsensorbauelement 2000a zu erhalten. Mit der Isolationsstruktur GS wird eine bessere Isolation für die fotosensitiven Bauelemente PD bereitgestellt und daher die Arbeitsleistung des Bildsensors 10 verbessert. Zusätzlich wird eine Gesamtdicke (in Richtung Z) des Bildsensorbauelements 2000a weiter reduziert.
  • 39 ist eine schematische vertikale Ansicht, die einen Bildsensor zeigt, der in einer Halbleiterstruktur (z.B. ein (Halbleiter-) Bildsensorbauelement 2000b) aufgewiesen ist, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung. Die Elemente ähnlich wie oder im Wesentlichen gleich den Elementen, die zuvor beschrieben wurden, werden dieselben Bezugsnummern verwenden und gewisse Details oder Beschreibungen derselben Elemente müssen hierin nicht wiederholt werden. Die Bildsensorbauelemente 2000b von 39 sind ähnlich den Bildsensorbauelementen 2000a von 38, wobei der Unterschied der ist, dass in den Bildsensorbauelementen 2000b von 38 der erste Abschnitt der dielektrischen Schicht 156B auf der Oberseitenoberfläche S154 der dielektrischen Schicht 154 verbleibt. Mit anderen Worten, anstatt den Strukturierungsprozess BE1 (ohne eine Fotomaske) in dem zuvor beschriebenen Herstellungsprozess, wie in 33 und 34 zuvor beschrieben, zu verwenden, wird ein anderer Strukturierungsprozess (mit einer Fotomaske) übernommen, um die Öffnungen OP4 zu bilden. Der andere Strukturierungsprozess kann derselbe wie oder identisch mit dem Strukturierungsprozess PE1 wie in 7 und 8 beschrieben sein, aber eine unterschiedliche strukturierte Maskenschicht verwenden und wird daher hierin der Kürze wegen nicht wiederholt.
  • 40 und 41 sind schematische vertikale und horizontale Ansichten, die einen Bildsensor zeigen, der in einer Halbleiterstruktur (z.B. ein (Halbleiter-) Bildsensorbauelement 2000c) aufgewiesen ist, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung. 42 ist eine schematische vertikale Ansicht, die einen Bildsensor zeigt, der in einer Halbleiterstruktur (z.B. ein (Halbleiter-) Bildsensorbauelement 2000d) aufgewiesen ist, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung. Die Elemente ähnlich oder im Wesentlichen gleich den zuvor beschriebenen Elementen werden dieselben Bezugsnummern verwenden und gewisse Details oder Beschreibungen derselben Elemente müssen hierin nicht wiederholt werden. Die Bildsensorbauelemente 2000c von 40 und 41 sind ähnlich den Bildsensorbauelementen 2000a von 38, wobei der Unterschied der ist, dass in den Bildsensorbauelementen 2000c von 40 und 41 die leitfähigen Strukturen 172 durch leitfähige Strukturen 172A ersetzt werden. Zum Beispiel, wie in der Querschnittansicht von 40 gezeigt, sind Seitenwände S172A der leitfähigen Strukturen 172A eine gerade Linie (z.B. nicht eine gekrümmte Linie). Mit anderen Worten, es gibt keine Biegung bei den Seitenwänden S172A der leitfähigen Strukturen 172A. Das heißt, die leitfähigen Strukturen 172A weisen jeweils eine nichtstufenförmige Kontur (oder ein Profil) auf. Die Bildung und das Material der leitfähigen Strukturen 172A ist ähnlich dem Prozess zum Bilden der Öffnungen OP5, wie in 26 und 27 beschrieben, und dem Prozess und den Materialien zum Bilden der leitfähigen Strukturen 172, wie in 33 und 34 beschrieben, und werden daher der Kürze wegen hierin nicht wiederholt. Dank der leitfähigen Strukturen 172A wird ein Kontaktbereich zwischen den leitfähigen Strukturen 170A und dem Interconnect 120 erhöht, was den Kontaktwiderstand dazwischen reduziert; dadurch wird die Isolationsfähigkeit der Isolationsstruktur GS verstärkt und weiter das Verbessern der Arbeitsleistung des Bildsensors 10 verbessert.
  • Alternativ kann ähnlich dem Bildsensorbauelement 2000b der erste Abschnitt der dielektrischen Schicht 156B des Bildsensorbauelements 2000C nicht entfernt werden, siehe das Bildsensorbauelement 2000d wie in 42 gezeigt.
  • In alternativen Ausführungsformen anstelle davon, STIs als die ersten Isolationen in dem aktiven Gebiet AR und/oder die zweiten Isolationen in dem peripherischen Gebiet PR aufzuweisen, können die ersten Isolationen und die zweiten Isolationen unabhängig in einer Form eines dotierten Isolationsstrukturelements gebildet werden, das eine gestapelte Struktur mehrerer dotierter Gebiete aufweist. Abhängig von der Leitfähigkeit eines Halbleitersubstrats zum Konstruieren des Bildsensors, können die Dotierstoffe in den mehreren dotierten Gebieten variiert werden. In manchen Ausführungsformen sind die Dotierstoffe in den mehreren dotierten Gebieten und das Halbleitersubstrat mit den mehreren darin gebildeten, dotierten Gebieten vom selben Typ.
  • 43 bis 50 sind schematische vertikale und horizontale Ansichten, die ein Herstellungsverfahren eines Bildsensors zeigen, der in einer Halbleiterstruktur (z.B. ein (Halbleiter-) Bildsensorbauelement 3000a) aufgewiesen ist, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung, wobei 43, 45, 47 und 49 Querschnittansichten entlang von Linien A-A und B-B sind, die in 44, 46, 48 und 50 abgebildet sind. Die Elemente ähnlich oder im Wesentlichen gleich den zuvor beschriebenen Elementen werden dieselben Bezugsnummern verwenden und gewisse Details oder Beschreibungen derselben Elemente müssen hierin nicht wiederholt werden.
  • In Bezug auf 43 und 44 wird in manchen Ausführungsformen eine anfängliche IC-Struktur ICS' bereitgestellt und durch eine Debond-Schicht 52 auf einem Träger 50 platziert, dann wird die anfängliche IC-Struktur ICS' durch den Prozess wie zuvor in 6 beschrieben ausgedünnt. In manchen Ausführungsformen, wie in 43 gezeigt, weist die anfängliche IC-Struktur ICS' ein Halbleitersubstrat 100A, ein Bauelementgebiet (nicht gezeigt) und ein Interconnect 120 auf. Die Details des Trägers 50, der Debond-Schicht 52, des Bauelementgebiets und des Interconnects 120 wurden in 4 und 5 beschrieben und werden daher der Kürze wegen hierin nicht wiederholt. In der Offenbarung ist das Halbleitersubstrat 100A von 4 ähnlich dem Halbleitersubstrat 100 von 6, wobei der Unterschied der ist, dass in dem Halbleitersubstrat 100A von 43 die zweiten Isolationen 110 durch eine Mehrzahl von zweiten Isolationen 110A ersetzt wird. In manchen Ausführungsformen weisen die zweiten Isolationen 110A jeweils eine dotierte Isolation auf, die eine gestapelte Struktur dotierter Gebiete aufweist. Die zweiten Isolationen 110A können als dotierte Isolationsstrukturelemente 110A bezeichnet werden. Zum Beispiel, wie in 43 gezeigt, weisen die dotierten Isolationsstrukturelemente 110A jeweils ein dotiertes Gebiet 112, ein dotiertes Gebiet 114 und ein dotiertes Gebiet 116 auf, die entlang der Richtung Z gestapelt sind. In manchen Ausführungsformen liegt das dotierte Gebiet 112 entlang der Richtung Z zwischen dem Interconnect 120 und dem dotierten Gebiet 114, und das dotierte Gebiet 114 liegt zwischen dem dotierten Gebiet 112 und dem dotierten Gebiet 116. In manchen Ausführungsformen reicht eine Dicke T110A der zweiten Isolationen 110A ungefähr von 0,0111m bis 1011m, wobei die Dicke T110A entlang einer Stapelrichtung der dotierten Gebiete 112-116 gemessen wird, wie in 43 gezeigt wird.
  • Zum Beispiel kann eine Oberfläche des dotierten Gebiets 112 im Wesentlichen komplanar mit der Oberseitenoberfläche S100t des Halbleitersubstrats 100A sein und das dotierte Gebiet 116 ist nicht zugänglich von der Bodenoberfläche des Halbleitersubstrats 100A freigelegt. In manchen Ausführungsformen werden die dotierten Isolationsstrukturelemente 110A elektrisch mit dem Interconnect 120 durch eine Schicht (z.B. eine oder mehr als eine Durchkontaktierung 126, die am weitesten von der Oberseitenoberfläche S120t entfernt ist) der Metallisierungsschichten des Interconnects 120 verbunden. Die Konfiguration des Halbleitersubstrats 100A ist ähnlich der Konfiguration des Halbleitersubstrats 100 wie in 4 und 5 beschrieben, und wird daher der Kürze wegen hierin nicht wiederholt.
  • In manchen Ausführungsformen weisen das Halbleitersubstrat 100A und die dotierten Gebiete 112, 14 und 116 dieselbe Leitfähigkeit auf. Zum Beispiel ist das Halbleitersubstrat 100A ein p-Substrat, die dotierten Gebiete 112, 14 und 116 sind mit p-Dotierstoffen (wie Bor oder BF2) dotiert. Die Bildung der dotierten Gebiete 112, 114 und 116 kann gebildet werden durch, ist aber nicht begrenzt auf, Implantieren von p-Dotierstoffen, wie Bor oder dergleichen, durch die Oberseitenoberfläche S100t des Halbleitersubstrats 100A vor der Bildung des Interconnects 120. In manchen Ausführungsformen ist eine p-Dotierkonzentration des dotierten Gebiets 114 größer als eine p-Dotierkonzentration des dotierten Gebiets 112 und eine p-Dotierkonzentration des dotierten Gebiets 116 ist größer als die p-Dotierkonzentration des dotierten Gebiets 114. Zusätzlich ist die p-Dotierkonzentration des dotierten Gebiets 116 größer als die p-Dotierkonzentration des Halbleitersubstrats 100A. Das dotierte Gebiet 112 kann eine Dotierkonzentration in einer Spanne von etwa 1015/cm3 bis etwa 1021/cm3 aufweisen. Das dotierte Gebiet 116 kann eine Dotierkonzentration in einer Spanne von etwa 1013/cm3 bis etwa 1019/cm3 aufweisen. Das dotierte Gebiet 116 kann eine Dotierkonzentration in einer Spanne von etwa 1013/cm3 bis etwa 1018/cm3 aufweisen. In manchen Ausführungsformen kann das dotierte Gebiet 112 für jede dotierte Isolationsstruktur 110A als ein p+ Dotiergebiet oder eine p+ Wanne bezeichnet werden, das dotierte Gebiet 114 kann als ein schwer dotiertes Gebiet oder eine Zell-p-Wanne (CPW) bezeichnet werden und das dotierte Gebiet 116 kann als ein schwer dotiertes Gebiet oder eine tiefe p-Wanne (DPW) bezeichnet werden.
  • Andererseits, falls das Halbleitersubstrat 100A ein n-Substrat ist, werden dotierte Gebiete 112, 114 und 116 mit n-Dotierstoffen (wie Phosphor oder Arsen) dotiert. Die Bildung der dotierten Gebiete 112, 114 und 116 kann gebildet werden durch, ist aber nicht begrenzt auf, Implantieren von n-Dotierstoffen durch die Oberseitenoberfläche S100t des Halbleitersubstrats 100A vor der Bildung des Interconnects 120. In manchen Ausführungsformen ist eine n-Dotierkonzentration des dotierten Gebiets 114 größer als eine n-Dotierkonzentration des dotierten Gebiets 112 und eine n-Dotierkonzentration des dotierten Gebiets 116 ist größer als die n-Dotierkonzentration des dotierten Gebiets 114. Zusätzlich ist die n-Dotierkonzentration des dotierten Gebiets 116 größer als die n-Dotierkonzentration des Halbleitersubstrats 100A. Das dotierte Gebiet 112 kann eine Dotierkonzentration in einer Spanne von etwa 1015/cm3 bis etwa 1021/cm3 aufweisen. Das dotierte Gebiet 114 kann eine Dotierkonzentration in einer Spanne von etwa 1015/cm3 bis etwa 1019/cm3 aufweisen. Das dotierte Gebiet 116 kann eine Dotierkonzentration in einer Spanne von etwa 1013/cm3 bis etwa 1018/cm3 aufweisen. In manchen Ausführungsformen kann das dotierte Gebiet 112 für jede dotierte Isolationsstruktur 110A als ein n+ Dotiergebiet oder eine n+ Wanne bezeichnet werden, das dotierte Gebiet 114 kann als ein schwer dotiertes Gebiet oder eine Zell-n-Wanne (CNW) bezeichnet werden und das dotierte Gebiet 116 kann als ein schwer dotiertes Gebiet oder eine tiefe n-Wanne (DNW) bezeichnet werden.
  • Die ersten Isolationen (nicht gezeigt) können dieselbe Struktur wie die dotierten Isolationsstrukturelemente 110A aufweisen. Alternativ können die ersten Isolationen nicht dieselbe Struktur wie die dotierten Isolationsstrukturelemente 110A aufweisen. Die Offenbarung ist nicht darauf begrenzt.
  • In Bezug auf 45 und 46 wird in manchen Ausführungsformen eine Mehrzahl von Gräben OP1 in dem Halbleitersubstrat 100A gebildet und eine Isolationsstruktur GS, die ein leitfähiges Gitter 160 aufweist, wird in den Gräben OP1 gebildet, wobei die Gräben OP1 gemeinsam einen Gitter(netz)-Hohlraum begründen. Die Details der Gräben OP1 wurde in dem zuvor beschriebenen Herstellungsprozess wie in 7 und 8 beschrieben, beschrieben, die Details der Isolationsstruktur GS wurden in dem zuvor beschriebenen Herstellungsprozess wie in 9 und 12 beschrieben, beschrieben und werden daher zur Einfachheit hierin nicht wiederholt.
  • In Bezug auf 47 und 48 wird in manchen Ausführungsformen ein Strukturierungsprozess PE2 durchgeführt, um eine Mehrzahl von Öffnungen OP2 in dem Halbleitersubstrat 100A zu bilden, um die dotierten Isolationsstrukturelemente 110A freizulegen. Zum Beispiel werden Oberflächen S116 der dotierten Isolationsstrukturelemente 110A zugänglich von den Öffnungen OP2 freigelegt, die in dem peripherischen Gebiet PR gebildet sind. Die Details des Strukturierungsprozesses PE2 und die Details der Öffnungen OP2 wurden in dem zuvor beschriebenen Herstellungsprozess, wie in 13 und 14 beschrieben, beschrieben und werden daher zur Einfachheit hierin nicht wiederholt. In manchen Ausführungsformen kann nach der Bildung der Öffnungen OP2, die die Oberflächen S116 der dotierten Isolationsstrukturelemente 110A freilegen, die zuvor beschriebenen Herstellungsprozesse, wie in 19 bis 24 oben beschrieben, an der in 47 und 48 abgebildeten Struktur durchgeführt werden, um das in 49 und 50 abgebildete Bildsensorbauelement 3000a zu erhalten. In dem Bildsensorbauelement 3000a verbindet eine Mehrzahl von leitfähigen Strukturelementen (oder leitfähigen Strukturen) 174 eine Mehrzahl von leitfähigen Strukturelementen (oder leitfähigen Strukturanordnungen) 170A elektrisch mit dem leitfähigen Gitter 160 der Isolationsstruktur GS, wobei die Isolationsstruktur GS elektrisch mit der Interconnect-Struktur 120 (z.B. die Durchkontaktierungen 126) durch die dotierten Isolationsstrukturelemente 110A, die leitfähigen Strukturen 170A und die leitfähigen Strukturanordnungen 174 verbunden ist. Mit solch einer Isolationsstruktur GS wird eine bessere Isolation für die fotosensitiven Bauelemente PD bereitgestellt und damit die Arbeitsleistung des Bildsensors 10 verbessert.
  • In alternativen Ausführungsformen wird ein Abschnitt der dielektrischen Schicht 156, der sich entlang der X-Y-Ebene über die dielektrische Schicht 154 in dem Bildsensorbauelement 3000a erstreckt, entfernt, siehe ein (Halbleiter-) Bildsensorbauelement 3000b von 51. Das Entfernen dieses Abschnitts der dielektrischen Schicht 156 kann durch einen Prozess ähnlich oder gleich dem zuvor in 25 beschriebenen Prozess oder den zuvor in 33 bis 36 beschriebenen Prozessen angestellt werden. Mit solch einer Konfiguration wird, ohne die Isolationsfähigkeit der Isolationsstruktur GS zu reduzieren, eine Gesamtdicke des Bildsensorbauelements (z.B. 3000b) weiter reduziert.
  • Wie in dem Bildsensorbauelement 3000a von 49 und in dem Bildsensorbauelement 3000b von 51 gezeigt, weisen die leitfähigen Strukturen 170A zum Beispiel jeweils eine nichtstufenförmige Kontur (oder ein Profil) auf. Jedoch ist die Offenbarung nicht darauf begrenzt; alternativ können die leitfähigen Strukturen 170A in dem Bildsensorbauelement 3000a von 49 und in dem Bildsensorbauelement 3000b von 51 durch die leitfähigen Strukturen 170 ersetzt werden, die jeweils eine stufenförmige Kontur (oder ein Profil) aufweisen, wie in 59 gezeigt wird.
  • In weiteren alternativen Ausführungsformen werden die leitfähigen Strukturen 170A in dem Bildsensorbauelement 3000a durch leitfähige Strukturen 172A ersetzt, siehe ein (Halbleiter-) Bildsensorbauelement 3000c von 52 und 53. Mit der Gegenwart der leitfähigen Strukturen 172A kann die elektrische Verbindung zwischen der Isolationsstruktur GS und des Interconnects 120 sichergestellt werden. Die Bildung der leitfähigen Strukturen 172A kann durch einen Prozess ähnlich oder gleich dem zuvor in 40 bis 41 beschriebenen Prozess angestellt werden. In noch weiteren Ausführungsformen wird, ähnlich dem Bildsensorbauelement 3000b, ein Abschnitt der dielektrischen Schicht 156, der sich entlang der X-Y-Ebene über die dielektrische Schicht 154 in dem Bildsensorbauelement 3000c erstreckt, entfernt, siehe ein (Halbsensor-) Bildsensorbauelement 3000d von 54. Mit solch einer Konfiguration wird, ohne die Isolationsfähigkeit der Isolationsstruktur GS zu reduzieren, eine Gesamtdicke des Bildsensorbauelements (z.B. 3000d) weiter reduziert.
  • Wie in dem Bildsensorbauelement 3000c von 52 und in dem Bildsensorbauelement 3000d von 54 gezeigt, weisen die leitfähigen Strukturen 172A zum Beispiel jeweils eine nichtstufenförmige Kontur (oder ein Profil) auf. Jedoch ist die Offenbarung nicht darauf begrenzt; alternativ können die leitfähigen Strukturen 172A in dem Bildsensorbauelement 3000c von 52 und in dem Bildsensorbauelement 3000d von 54 durch die leitfähigen Strukturen 172 ersetzt werden, die jeweils eine stufenförmige Kontur (oder ein Profil) aufweisen, wie in 60 gezeigt wird.
  • 55 bis 58 sind schematische vertikale (oder Querschnitt-) Ansichten, die verschiedene Ausführungsformen eines Bildsensors zeigen, der in einer Halbleiterstruktur (z.B. ein (Halbleiter-) Bildsensorbauelement) aufgewiesen ist, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung. Die Elemente ähnlich oder im Wesentlichen gleich den zuvor beschriebenen Elementen werden dieselben Bezugsnummern verwenden und gewisse Details oder Beschreibungen derselben Elemente müssen hierin nicht wiederholt werden.
  • Zum Beispiel sind ein (Halbleiter-) Bildsensorbauelement 4000a von 55 und das Bildsensorbauelement 3000a von 49 ähnlich; und der Unterschied ist der, dass in dem in 55 abgebildeten Bildsensorbauelement 4000a eine Mehrzahl von zweiten Isolationen (als dotierte Isolationsstrukturen bezeichnet) 110B übernommen wird, um die zweiten Isolationen (als die dotierten Isolationsstrukturen bezeichnet) 110A zu ersetzen. Vielmehr als die dotierte Isolationsstruktur 110B sind die Details und andere Komponenten des Bildsensorbauelements 4000a ähnlich den Details und anderen Komponenten des Bildsensorbauelements 3000a wie in 43 bis 50 beschrieben und werden daher zur Einfachheit hierin nicht wiederholt.
  • In manchen Ausführungsformen weisen die dotierten Isolationsstrukturen 110B jeweils ein dotiertes Gebiet 112 und ein dotiertes Gebiet 114 entlang der Richtung Z gestapelt auf. In manchen Ausführungsformen liegt das dotierte Gebiet 112 entlang der Richtung Z zwischen dem Interconnect 120 und dem dotierten Gebiet 114, wobei das dotierte Gebiet 114 nicht zugänglich von der Bodenoberfläche S100b des Halbleitersubstrats 100B aufgedeckt ist und eine Oberfläche des dotierten Gebiets 112 im Wesentlichen komplanar mit der Oberseitenoberfläche S100t des Halbleitersubstrats 100B ist. In manchen Ausführungsformen reicht eine Dicke T110B der dotierten Isolationsstrukturen 110B ungefähr von 0,0111m bis 9,5µm, wobei die Dicke T110B entlang einer Stapelrichtung der dotierten Gebiete 112-114 gemessen wird, wie in 55 gezeigt wird. Zum Beispiel durchdringen die Öffnungen OP2 das Halbleitersubstrat 100B, um die dotierten Isolationsstrukturen 110B (z.B. Oberflächen S114) freizulegen (oder zugänglich aufzudecken). In manchen Ausführungsformen sind die dotierten Isolationsstrukturelemente 110B elektrisch mit dem Interconnect 120 durch eine Schicht (z.B. eine oder mehr als eine Durchkontaktierung 126, die am weitesten von der Oberseitenoberfläche S120t entfernt ist) der Metallisierungsschichten des Interconnects 120 verbunden. In manchen Ausführungsformen, wie in 55 gezeigt, verbinden die leitfähigen Strukturanordnungen 174 die leitfähigen Strukturen 170A elektrisch mit dem leitfähigen Gitter 160 der Isolationsstruktur GS, wobei die Isolationsstruktur GS elektrisch mit der Interconnect-Struktur 120 (z.B. die Durchkontaktierungen 126) durch die dotierten Isolationsstrukturelemente 110B, die leitfähigen Strukturen 170A und die leitfähigen Strukturanordnungen 174 verbunden ist. Mit solch einer Isolationsstruktur GS wird eine bessere Isolation für die fotosensitiven Bauelemente PD bereitgestellt und daher die Arbeitsleistung des Bildsensors 10 verbessert.
  • In alternativen Ausführungsformen wird ein Abschnitt der dielektrischen Schicht 156, der sich entlang der X-Y-Ebene über die dielektrische Schicht 154 in dem Bildsensorbauelement 4000a erstreckt, entfernt, siehe ein (Halbleiter-) Bildsensorbauelement 4000b von 56. Das Entfernen dieses Abschnitts der dielektrischen Schicht 156 kann durch einen Prozess ähnlich oder gleich dem zuvor in 25 beschriebenen Prozess oder den zuvor in 33 bis 36 beschriebenen Prozessen angestellt werden. Mit dieser Konfiguration, ohne die Isolationsfähigkeit der Isolationsstruktur GS zu reduzieren, wird eine Gesamtdicke des Bildsensorbauelements (z.B. 4000b) weiter reduziert. Wie in dem Bildsensorbauelement 4000a von 55 und in dem Bildsensorbauelement 4000b von 56 gezeigt, weisen die leitfähigen Strukturen 170A zum Beispiel jeweils eine nichtstufenförmige Kontur (oder ein Profil) auf. Jedoch ist die Offenbarung nicht darauf begrenzt; alternativ können die leitfähigen Strukturen 170A in dem Bildsensorbauelement 4000a von 55 und in dem Bildsensorbauelement 4000b von 56 durch die leitfähigen Strukturen 170 ersetzt werden, die jeweils eine stufenförmige Kontur (oder ein Profil) aufweisen, wie in 61 gezeigt wird.
  • In weiteren alternativen Ausführungsformen werden die leitfähigen Strukturen 170A in dem Bildsensorbauelement 4000a durch leitfähige Strukturen 172A ersetzt, siehe ein (Halbleiter-) Bildsensorbauelement 4000c von 57. Mit der Gegenwart der leitfähigen Strukturen 172A kann die elektrische Verbindung zwischen der Isolationsstruktur GS und dem Interconnect 120 sichergestellt werden. Die Bildung der leitfähigen Strukturen 172A kann durch einen Prozess ähnlich oder gleich dem zuvor in 40 bis 41 beschriebenen Prozess angestellt werden. In noch weiteren Ausführungsformen, ähnlich dem Bildsensorbauelement 4000b, wird ein Abschnitt der dielektrischen Schicht 156, der sich entlang der X-Y-Ebene über der dielektrischen Schicht 154 in dem Bildsensorbauelement 4000c erstreckt, entfernt, siehe ein (Halbleiter-) Bildsensorbauelement 4000d von 58. Mit solcher Konfiguration, ohne die Isolationsfähigkeit der Isolationsstruktur GS zu reduzieren, wird eine Gesamtdicke des Bildsensorbauelements (z.B. 4000d) weiter reduziert. Wie in dem Bildsensorbauelement 4000c von 57 und in dem Bildsensorbauelement 4000d von 58 gezeigt, weisen die leitfähigen Strukturen 172A zum Beispiel jeweils eine nichtstufenförmige Kontur (oder ein Profil) auf. Jedoch ist die Offenbarung nicht darauf begrenzt; alternativ können die leitfähigen Strukturen 172A in dem Bildsensorbauelement 4000c von 57 und in dem Bildsensorbauelement 4000d von 58 durch die leitfähigen Strukturen 172 ersetzt werden, die jeweils eine stufenförmige Kontur (oder ein Profil) aufweisen, wie in 62 gezeigt wird.
  • 63 bis 75 sind schematische vertikale und horizontale Ansichten, die ein Verfahren zur Herstellung eines Bildsensors zeigen, der in einer Halbleiterstruktur (z.B. ein (Halbleiter-) Bildsensorbauelement 2000a) aufgewiesen ist, in Übereinstimmung mit manchen Ausführungsformen der Offenbarung, wobei 63, 65, 67, 69, 71 und 73 die Querschnittansichten entlang von Linien A-A und B-B sind, die in 64, 66, 68A, 70A, 72A und 74 abgebildet sind. 76 ist eine schematische vertikale Ansicht, die einen Bildsensor in einem (Halbleiter-) Bildsensor-Die zeigt, in Übereinstimmung mit manchen alternativen Ausführungsformen der Offenbarung. Die Elemente ähnlich oder im Wesentlichen gleich den zuvor beschriebenen Elementen werden dieselben Bezugsnummern verwenden und gewisse Details oder Beschreibungen derselben Elemente müssen hierin nicht wiederholt werden.
  • In Bezug auf 63 und 64 wird in manchen Ausführungsformen eine anfängliche IC-Struktur ICS'' bereitgestellt und auf einem Träger 50 durch eine Debond-Schicht 52 platziert, dann wird die anfängliche IC-Struktur ICS'' durch den wie zuvor in 6 beschriebenen Prozess ausgedünnt. Die anfängliche IC-Struktur ICS'' von 63 ist ähnlich der anfänglichen IC-Struktur ICS', wie sie in 43 beschrieben wird; und der Unterschied ist der, dass in der anfänglichen IC-Struktur ICS'' von 63 eine Mehrzahl von zweiten Isolationen (als dotierte Isolationsstrukturen bezeichnet) 110C übernommen wird, um die zweiten Isolationen (als die dotierten Isolationsstrukturen bezeichnet) 110A zu ersetzen. Vielmehr als die dotierte Isolationsstruktur 110C sind die Details und anderen Komponenten der anfänglichen IC-Struktur ICS'' ähnlich den Details und anderen Komponenten der anfänglichen IC-Struktur ICS', wie sie in 43 bis 44 beschrieben wird, und werden daher zur Einfachheit hierin nicht wiederholt.
  • In manchen Ausführungsformen weisen die dotierten Isolationsstrukturen 110C jeweils ein dotiertes Gebiet (als ein p+ Dotierungsgebiet oder eine p+ Wanne bezeichnet) 112a, ein dotiertes Gebiet (als ein schwer dotiertes Gebiet oder eine Zell-p-Wanne (CPW) bezeichnet) 114a und ein dotiertes Gebiet (als ein schwer dotiertes Gebiet oder eine tiefe p-Wanne (DPW) bezeichnet) 116a auf, die entlang der Richtung Z gestapelt sind. In manchen Ausführungsformen, entlang der Richtung Z, liegt das dotierte Gebiet 112a zwischen dem Interconnect 120 und dem dotierten Gebiet 114a und das dotierte Gebiet 114a liegt zwischen dem dotierten Gebiet 112a und dem dotierten Gebiet 116a. Zum Beispiel, wie in 63 gezeigt, wird das dotierte Gebiet 116a nicht zugänglich von der Bodenoberfläche S100b des Halbleitersubstrats 100C aufgedeckt und eine Oberfläche des dotierten Gebiets 112a ist im Wesentlichen komplanar zu der Oberseitenoberfläche S100t des Halbleitersubstrats 100C, um sich durch eine Schicht (z.B. eine oder mehr als eine Durchkontaktierung 126, die am weitesten von der Oberseitenoberfläche S120t entfernt ist) der Metallisierungsschichten des Interconnects 120 elektrisch mit dem Interconnect 120 zu verbinden. In manchen Ausführungsformen reicht eine Dicke T110C der dotierten Isolationsstrukturen 110C ungefähr von 0,0111m bis 10µm, wobei die Dicke T110C entlang einer Stapelrichtung der dotierten Gebiete 112a-116a gemessen wird, wie in 63 gezeigt wird. Die Ausbildungen und Materialien der dotierten Gebiete 112a-116a sind gleich oder ähnlich den Prozessen und Materialien zum Bilden der dotierten Gebiete 112-116, wie zuvor in 43 bis 44 besprochen, und werden daher zur Einfachheit hierin nicht wiederholt.
  • In Bezug auf 65 und 66 wird in manchen Ausführungsformen ein Strukturierungsprozess PE6 durchgeführt, um eine Mehrzahl von Gräben OP6 und eine Mehrzahl von Öffnungen OP7 zu bilden. Der Strukturierungsprozess PE6 kann derselbe wie oder identisch mit dem Strukturierungsprozess PE1, wie in 7 und 8 beschrieben sein, aber eine unterschiedliche strukturierte Maskenschicht verwenden und wird daher der Kürze wegen hierin nicht wiederholt. Wie in 65 und 66 gezeigt, werden die Gräben OP6 in dem aktiven Gebiet AR gebildet und weiter zu dem peripherischen Gebiet PR verlängert, um räumlich mit den Öffnungen OP7 zu kommunizieren, die nur in dem peripherischen Gebiet PR gebildet sind. Zum Beispiel können die Gräben OP6 fortlaufende Gräben sein und können als eine Gitterform (z.B. eine Form von Gitternetz) innerhalb des aktiven Gebiets AR konfiguriert sein. Das heißt, die Gräben OP6 können gemeinsam als ein Gitter(netz)-Hohlraum bezeichnet werden, der in dem Halbleitersubstrat 100C innerhalb des aktiven Gebiets AR gebildet wird. Wie in 65 und 66 gezeigt, werden zum Beispiel die fotosensitiven Bauelemente PD in einer Mehrzahl von Gebieten 166 positioniert, die von den Gräben OP6 abgegrenzt werden. In manchen Ausführungsformen werden Oberseitenoberflächen S116a der dotierten Isolationsstrukturen 110C durch die Öffnungen OP7 freigelegt (z.B. zugänglich aufgedeckt).
  • Zum Beispiel reicht eine Höhe T6 der Gräben OP6 ungefähr von 0,1µm bis 20µm. In einer Ausführungsform ist die Höhe T6 der Gräben OP6 geringer als die Dicke T100 des Halbleitersubstrats 100C. In alternativen Ausführungsformen ist die Höhe T6 der Gräben OP6 im Wesentlichen gleich der Dicke T100 des Halbleitersubstrats 100C . Zum Beispiel reicht eine Breite D6 der Gräben OP6 ungefähr von 0,0111m bis 5µm, wobei die Breite D6 entlang einer Richtung senkrecht zu einer Erstreckungsrichtung der Gräben OP6 gemessen wird, wie in 65 und 66 gezeigt wird. Zum Beispiel reicht eine Höhe T7 der Öffnungen OP7 ungefähr von 0,1µm bis 20,9µm. In einer Ausführungsform ist die Höhe T7 der Öffnungen OP7 geringer als die Dicke T100 des Halbleitersubstrats 100C. In einer alternativen Ausführungsform ist die Höhe T7 der Öffnungen OP7 im Wesentlichen gleich der Dicke T100 des Halbleitersubstrats 100C. Zum Beispiel reicht eine Breite D7 der Öffnungen OP7 ungefähr von 0,0131µm bis 25µm, wobei die Breite D7 entlang einer Richtung senkrecht zu einer Erstreckungsrichtung der Öffnungen OP7 gemessen wird, wie in 65 gezeigt wird. In manchen Ausführungsformen ist die Breite D6 der Gräben OP6 geringer als die Breite D7 der Öffnungen OP7. Zum Beispiel reicht ein Verhältnis der Breite D6 der Gräben OP6 zu der Breite D7 der Öffnungen OP7 ungefähr von 1:1,3 bis 1:5.
  • In Bezug auf 67, 68A und 68B werden in manchen Ausführungsformen eine dielektrische Schicht 150, eine dielektrische Schicht 154, eine dielektrische Schicht 156m und eine dielektrische Schicht 158 nacheinander über dem Halbleitersubstrat 100C entlang der Bodenoberfläche S100B gebildet. Zum Beispiel wird die dielektrische Schicht 150 einheitlich auf der Bodenoberfläche S100b des Halbleitersubstrats 100C gebildet und erstreckt sich weiter in Seitenwände (nicht gekennzeichnet) und Bodenoberflächen (nicht gekennzeichnet) der Gräben OP6 und die Öffnungen OP7, die dielektrische Schicht 152 wird einheitlich auf einer Oberseitenoberfläche S150 der dielektrischen Schicht 150 gebildet und erstreckt sich weiter in die Gräben OP6 und die Öffnungen OP7, um die dielektrische Schicht 150 abzudecken, und die dielektrische Schicht 154 wird einheitlich auf einer Oberseitenoberfläche S152 der dielektrischen Schicht 152 gebildet und erstreckt sich weiter in die Gräben OP6 und die Öffnungen OP7, um die dielektrische Schicht 152 abzudecken. Die dielektrischen Schichten 150,152 und 154 können individuell auch als eine dielektrische Auskleidung (der Gräben OP6 und der Öffnungen OP7) bezeichnet werden. In manchen Ausführungsformen können die dielektrischen Schichten 150, 152 und 154 jeweils unter Verwendung eines geeigneten Prozesses gebildet werden, der gute Spaltfüllungsfähigkeit oder eine langsame Abscheiderate aufweist, wie Atomschichtabscheidung ALD. Die Materialien der dielektrischen Schichten 150, 152 und 154 wurden in 9 und 10 beschrieben und werden daher zur Einfachheit hierin nicht wiederholt. In einer alternativen Ausführungsform kann die dielektrische Schicht 152 ausgelassen werden.
  • Nach der Bildung der dielektrischen Schicht 154 wird die dielektrische Schicht 156m auf der Oberseitenoberfläche S154 der dielektrischen Schicht 154 gebildet, ohne sich in die Gräben OP6 und die Öffnungen OP7 zu erstrecken. In manchen Ausführungsformen wird die dielektrische Schicht 156m durch einen Abscheidungsprozess gebildet, der schlechte Spaltfüllungsfähigkeit oder ein schnelles Abscheideverhältnis aufweist, wie ein PECVD-Prozess. Daher kann die dielektrische Schicht 156m als eine nichteinheitliche Schicht gebildet werden. In manchen Ausführungsformen ist die Dicke der dielektrischen Schicht 156m über der Bodenoberfläche S100b des Halbleitersubstrats 100C viel dicker als die Dicke der Dicke der dielektrischen Schicht 156m über den Gräben OP6 und den Öffnungen OP7. In manchen Ausführungsformen wird die dielektrische Schicht 156m im Wesentlichen nicht in die Gräben OP6 und die Öffnungen OP7 gefüllt. Aufgrund des Verhältnisses zwischen der Breite D6 der Gräben OP6 und der Breite D7 der Öffnungen OP7 werden die Oberseiten der Gräben OP6 von der dielektrischen Schicht 156m abgedeckt, während die Oberseiten der Öffnungen OP7 in manchen Ausführungsformen nicht vollständig von der dielektrischen Schicht 156m abgedeckt werden, wie in 67 gezeigt wird. Wie in 67, 68A und 68B gezeigt, legt zum Beispiel eine Mehrzahl von Öffnungen OP8, die in der dielektrischen Schicht 156m gebildet sind, die Öffnungen OP7 jeweils frei. Das heißt, Positionierungsstellen der Öffnungen OP8 werden von Positionierungsstellen der Öffnungen OP7 in der vertikalen Projektion auf dem Halbleitersubstrat 100C entlang der Richtung Z überlappt, wie in 68A und 68B gezeigt wird. Die Materialien der dielektrischen Schicht 156m sind gleich oder ähnlich dem Material der dielektrischen Schicht 156 wie in 15 und 16 beschrieben und werden daher der Kürze wegen hierin nicht wiederholt.
  • In Bezug auf 69, 70A und 70B wird in manchen Ausführungsformen ein Strukturierungsprozess BE2 an der dielektrischen Schicht 156m durchgeführt, um eine dielektrische Schicht 156 zu bilden, die eine Mehrzahl von Gräben OP9 und die Öffnungen OP8 aufweist, und um weiter eine Mehrzahl von Öffnungen OP10 zu bilden, die durch Abschnitte der dielektrischen Schichten 150, 152 und 154 auf den Bodenoberflächen der Öffnungen OP7 dringen und von den Öffnungen OP8 überlappt werden. Der Strukturierungsprozess BE2 kann gleich oder identisch mit dem Strukturierungsprozess BE1 wie in 31 und 32 beschrieben sein und wird daher der Kürze wegen hierin nicht wiederholt.
  • In manchen Ausführungsformen werden die Gräben OP9 über und innerhalb der Gräben OP6 gebildet, wobei die Gräben OP9 in dem aktiven Gebiet AR gebildet und weiter zu dem peripherischen Gebiet PR verlängert werden, um räumlich mit den Öffnungen OP8 zu kommunizieren, die nur in dem peripherischen Gebiet PR gebildet sind. Zum Beispiel können die Gräben OP9 fortlaufende Gräben sein und können als eine Gitterform (z.B. eine Form von Gitternetz) innerhalb des aktiven Gebiets AR konfiguriert sein. Das heißt, die Gräben OP9 können gemeinsam als ein Gitter(netz)-Hohlraum bezeichnet werden, der innerhalb der Gräben OP6, in dem Halbleitersubstrat 100C innerhalb des aktiven Gebiets AR gebildet ist.
  • In manchen Ausführungsformen werden die Öffnungen OP10 unter den Öffnungen OP8 und den Öffnungen OP7 und räumlich damit verbunden in dem peripherischen Gebiet PR gebildet. Zum Beispiel, wie in 69, 70A und 70B gezeigt, werden Oberseitenoberflächen S116a der dotierten Isolationsstrukturen 110C durch die Öffnungen OP10 freigelegt (z.B. zugänglich aufgedeckt). In manchen Ausführungsformen ist eine Größe D10 der Öffnungen OP10 im Wesentlichen gleich einer Größe (nicht gekennzeichnet) der Öffnungen OP8.
  • Wenn eine Flächenansicht (z.B. die X-Y-Ebene) der Öffnungen OP7, OP8 und/oder OP10 betrachtet wird, können die Formen der Öffnungen OP7, OP8 und/oder OP10 unabhängig eine Kreisform aufweisen. Jedoch ist die Offenbarung nicht darauf begrenzt; in einer alternativen Ausführungsform sind die Formen der Öffnungen OP7, OP8 und/oder OP10 in der Flächenansicht zum Beispiel rechteckig, elliptisch, oval, tetragonal, oktogonal oder eine beliebige geeignete polygonale Form.
  • In Bezug auf 71, 72A und 72B wird in manchen Ausführungsformen ein leitfähiges Strukturelement, das eine Mehrzahl von leitfähigen Strukturelementen 168, ein leitfähiges Strukturelement 178 und eine Mehrzahl von leitfähigen Strukturelementen 176 aufweist, auf der dielektrischen Schicht 156 gebildet. In manchen Ausführungsformen sind die leitfähigen Strukturelemente 168 elektrisch mit den leitfähigen Strukturelementen 176 durch leitfähiges Strukturelement 178 verbunden, wobei die leitfähigen Strukturelemente 168, 176 und 178 ganzheitlich gebildet werden.
  • In manchen Ausführungsformen werden die leitfähigen Strukturelemente 168 in den Gräben OP6 und OP9 gebildet. Zum Beispiel füllen die leitfähigen Strukturelemente 168 die Gräben OP6 und die Gräben OP9. Zum Beispiel, wie in 71 gezeigt, werden Oberseitenoberflächen (nicht gekennzeichnet) der leitfähigen Strukturelemente 168 als Oberflächen betrachtet, die im Wesentlichen komplanar zu einer Oberseitenoberfläche S156 der dielektrischen Schicht 156 sind. Die leitfähigen Strukturelemente 168 können gemeinsam als ein leitfähiges Gitter 168 bezeichnet werden. Zum Beispiel werden das leitfähige Gitter 168 in den Gräben OP6 und OP9 und die dielektrischen Schichten 150,152 und 154 (als die dielektrischen Auskleidungen dienend) in den Gräben OP6 in der Offenbarung als eine Isolationsstruktur GS' einer Gitternetzform bezeichnet. In manchen Ausführungsformen werden ein Abschnitt der dielektrischen Schicht 150, ein Abschnitt der dielektrischen Schicht 152 und ein Abschnitt der dielektrischen Schicht 154, die innerhalb der Gräben OP6 liegen, gemeinsam als eine dielektrische Struktur DI2 der Isolationsstruktur GS' bezeichnet. Ein vorteilhaftes Merkmal davon, solch eine Isolationsstruktur GS' aufzuweisen ist, dass eine Vorspannung (z.B. eine negative Vorspannung Nb in 75) an das leitfähige Gitter 168 angelegt wird, was Lochansammlungen entlang von Seitenwänden der Isolationsstruktur GS' erzeugen und Elektroden daran hindern würde, nahe der Isolationsstruktur GS' eingefangen zu werden, um Kriechstrom wie auch Übersprechen zwischen benachbarten Pixeln 11 in dem Bildsensor 10 zu reduzieren. Und daher wird die Arbeitsleistung des Bildsensors 10 verbessert. Wie in 71, 72A und 72B gezeigt, deckt die Isolationsstruktur GS' innerhalb des aktiven Gebiets AR die Treiberschaltungen DC und Speicherbauelemente SD der Pixel 11 und neben dem fotosensitiven Bauelement PD, in den Gebieten 166 positioniert, ab. Die Gebiete 166 können als Öffnungen 166 der Isolationsstruktur GS' bezeichnet werden, die das fotosensitive Bauelement PD umgeben und freilegen. In der alternativen Ausführungsform, bei der die Gräben OP1 FDT sind, ist die Isolationsstruktur GS' innerhalb des aktiven Gebiets neben den Treiberschaltungen DC, den Speicherbauelementen SD und dem fotosensitiven Bauelement PD.
  • In manchen Ausführungsformen werden die leitfähigen Strukturelemente 176 in den Öffnungen OP10, den Öffnungen OP7 und Öffnungen OP8 gebildet, um in Kontakt mit der Oberfläche S116a der dotierten Isolationsstruktur 110C zu sein, sodass die leitfähigen Strukturelemente 176 elektrisch mit dem Interconnect 120 durch die dotierte Isolationsstruktur 110C verbunden werden. Zum Beispiel füllen die leitfähigen Strukturelemente 176 die Öffnungen OP10, die Öffnungen OP7 und Öffnungen OP8. Zum Beispiel, wie in 71 gezeigt, werden Oberseitenoberflächen (nicht gekennzeichnet) der leitfähigen Strukturelemente 176 als Oberflächen betrachtet, die im Wesentlichen komplanar mit der Oberseitenoberfläche S156 der dielektrischen Schicht 156 sind. In manchen Ausführungsformen weisen die leitfähigen Strukturelemente 176 jeweils einen ersten Abschnitt 176a in den Öffnungen OP8 und OP7 und einen zweiten Abschnitt 176b in der Öffnung OP10 auf. Zum Beispiel, wie in 71 gezeigt, werden die leitfähigen Strukturelemente 176 elektrisch mit dem Interconnect 120 verbunden, indem die zweiten Abschnitte 176b elektrisch und physisch mit den dotierten Isolationsstrukturen 110C verbunden werden, die elektrisch mit dem Interconnect 120 verbunden sind, und die leitfähigen Strukturelemente 176 werden elektrisch mit dem leitfähigen Strukturelement 178 verbunden, indem die ersten Abschnitte 176a und das leitfähige Strukturelement 178 physisch und elektrisch verbunden werden. Die leitfähigen Strukturelemente 176 können als leitfähige Strukturen 176 bezeichnet werden, wobei jeder erste Abschnitt 176a als ein leitfähiger Körper bezeichnet werden kann und jeder zweite Abschnitt 176b als eine leitfähige Durchkontaktierung des leitfähigen Körpers bezeichnet werden kann. Wie in 71 gezeigt, weisen die leitfähigen Strukturen 176 jeweils eine stufenförmige Kontur (oder ein Profil) auf, wobei die Seitenwände S176 der leitfähigen Struktur 176 in der Querschnittansicht zum Beispiel jeweils eine gekrümmte Linie (z.B. nicht eine gerade Linie mit Biegungen) sind. Alternativ können die leitfähigen Strukturen 176 eine nichtstufenförmige Kontur (oder ein Profil) aufweisen, wobei die Seitenwände S176 der leitfähigen Struktur 176 in der Querschnittansicht jeweils eine gerade Linie (z.B. ohne Biegungen) sind.
  • In manchen Ausführungsformen wird ein leitfähiges Strukturelement 178 auf der Oberseitenoberfläche S156 der dielektrischen Schicht 156 gebildet, um in Kontakt mit dem leitfähigen Gitter 168 und den leitfähigen Strukturen 176 zu sein, sodass das leitfähige Strukturelement 178 elektrisch mit dem leitfähigen Gitter 168 und den leitfähigen Strukturen 176 verbunden wird. Mit anderen Worten, das leitfähige Strukturelement 178 ist eine fortlaufende leitfähige Schicht auf der dielektrischen Schicht 156, die sich zwischen dem leitfähigen Gitter 168 und den leitfähigen Strukturen 176 erstreckt, um eine ordentliche elektrische Verbindung dazwischen bereitzustellen. Zum Beispiel wird eine Bodenoberfläche (nicht gekennzeichnet) des leitfähigen Strukturelements 178 als eine Oberfläche betrachtet, die im Wesentlichen komplanar mit der Oberseitenoberfläche S156 der dielektrischen Schicht 156 ist. Das leitfähige Strukturelement 178 kann als eine leitfähige Strukturanordnung 178 bezeichnet werden.
  • Die Bildung des leitfähigen Strukturelements, das die leitfähigen Strukturelemente 168, 176 und 178 aufweist, kann gebildet werden durch, aber nicht begrenzt auf, Bilden einer leitfähigen Materialschicht (nicht gezeigt) über dem Halbleitersubstrat 100C entlang der Bodenoberfläche S100b, um die in 69 und 70A abgebildete Struktur abzudecken, wobei sich die leitfähige Materialschicht in die Gräben OP6 und OP9 und die Öffnungen OP7, OP8 und OP10 erstreckt, um gleichzeitig die leitfähigen Strukturelemente 168, die leitfähigen Strukturelemente 176 und das leitfähige Strukturelement 178 zu bilden. Die leitfähige Materialschicht kann gleich oder ähnlich dem Material der leitfähigen Materialschicht 160m wie in 9 und 10 beschrieben sein und wird daher der Kürze wegen hierin nicht wiederholt. Für ein Beispiel wird die leitfähige Materialschicht aus Al hergestellt. Wie in 71 gezeigt, wird das leitfähige Gitter 168 zum Beispiel elektrisch mit dem Interconnect 120 durch die leitfähigen Strukturen 176 und die leitfähige Strukturanordnung 178 verbunden. In manchen Ausführungsformen kann die Bildung des leitfähigen Strukturelements, das die leitfähigen Strukturelemente 168, 176 und 178 aufweist, weiter einen Planarisierungsprozess umfassen, wie einen CMP-Prozess, sodass das leitfähige Strukturelement 178 gebildet wird, eine im Wesentlichen planare Oberseitenoberfläche aufzuweisen. Nach Planarisierung kann ein Reinigungsprozess optional durchgeführt werden, um zum Beispiel den Rest zu reinigen und entfernen, der von dem Planarisierungsprozess erzeugt wird. Jedoch ist die Offenbarung nicht darauf begrenzt und der Planarisierungsprozess kann durch ein beliebiges anderes geeignetes Verfahren durchgeführt werden.
  • Obwohl in der Offenbarung das leitfähige Strukturelement, das die leitfähigen Strukturelemente 168, 176 und 178 aufweist, als eine nichteinheitliche Schicht wie in 71 gezeigt gebildet ist, kann das leitfähige Strukturelement, das die leitfähigen Strukturelemente 168, 176 und 178 aufweist, in Form einer einheitlichen Schicht gebildet werden, solange die elektrische Verbindung zwischen den dotierten Isolationsstrukturen 110C und dem leitfähigen Strukturelement, das die leitfähigen Strukturelemente 168, 176 und 178 aufweist, ordentlich eingerichtet wird. Die Offenbarung ist nicht darauf begrenzt.
  • In Bezug auf 73 und 74 wird in manchen Ausführungsformen eine dielektrische Schicht 158 auf der in 71 und 72A abgebildeten Struktur gebildet, um die leitfähige Strukturanordnung 178 abzudecken. Die dielektrische Schicht 158 kann als eine Passivierungsschicht bezeichnet werden, die einen hohen Grad an Ebenheit und Flachheit aufweist, was für die später gebildeten Schichten/Elemente (z.B. Farbfilter, Mikrolinsen und/oder dergleichen) vorteilhaft ist. Die Details der dielektrischen Schicht 158 wurden in 21 und 22 beschrieben und werden daher zur Einfachheit hierin nicht wiederholt. In Bezug auf 75 werden in manchen Ausführungsformen eine Lichtfilterschicht 180 (eine Mehrzahl von Farbfiltern 182, 184 und 186 aufweisend) und Mikrolinsen 190 auf der dielektrischen Schicht 158 und über der Isolationsstruktur GS' innerhalb des aktiven Gebiets AR angeordnet. Die Details der Lichtfilterschicht 180 und die Mikrolinsen 190 wurden in 23 beschrieben und werden daher zur Einfachheit hierin nicht wiederholt. In manchen Ausführungsformen kann der zuvor beschriebene Herstellungsprozess, wie in 24 beschrieben, durchgeführt werden, um ein in 75 abgebildetes (Halbleiter-) Bildsensorbauelement 5000a zu erhalten. Mit der Isolationsstruktur GS' wird eine bessere Isolation für die fotosensitiven Bauelemente PD bereitgestellt und daher die Arbeitsleistung des Bildsensors 10 verbessert. Zusätzlich wird eine Gesamtdicke (in Richtung Z) des Bildsensorbauelements 5000a weiter reduziert.
  • In manchen alternativen Ausführungsformen kann die leitfähige Strukturanordnung 178 ausgelassen werden, siehe ein (Halbleiter-) Bildsensorbauelement 5000b von 76. Dank der Gräben OP6, OP9 und den räumlich miteinander kommunizierenden Öffnungen OP7, OP8 sind das leitfähige Gitter 168 und die leitfähigen Strukturen 176 in elektrischer Verbindung und physischer Verbindung verbunden. Mit solch einer Konfiguration, ohne die Isolationsfähigkeit der Isolationsstruktur GS' zu reduzieren, wird eine Gesamtdicke des Bildsensorbauelements (z.B. 5000b) weiter reduziert.
  • In den Querschnittansichten der vorigen Ausführungsformen, obwohl die Gräben OP1 und/oder die Öffnungen OP2, OP3, OP4, OP5, OP8, OP10 gezeigt werden, vertikale und planare Seitenwände aufzuweisen, können die Gräben OP1 und/oder die Öffnungen OP2, OP3, OP4, OP5, OP8, OP10 unabhängig geneigte und planare Seitenwände aufweisen. Andererseits, in den Querschnittansichten der vorigen Ausführungsformen, obwohl die Gräben OP6, OP9 und/oder die Öffnungen OP7 gezeigt werden geneigte und planare Seitenwände aufzuweisen, können die Gräben OP6, OP9 und/oder die Öffnungen OP7 unabhängig vertikale und planare Seitenwände aufweisen. Die Offenbarung ist nicht darauf begrenzt.
  • 77 stellt ein Ablaufdiagramm für ein Verfahren 6000 dar, das verwendet werden kann, um einen Bildsensor zu bilden, der in einem Halbleiterbildsensorbauelement gemäß der Offenbarung aufgewiesen ist. Das Verfahren 6000 beginnt mit Vorgang 6002, Bereitstellen eines Substrats mit einem Interconnect bei einer ersten Seite des Substrats angeordnet, dielektrischer Isolationen in dem Substrat entlang der ersten Seite und Pixel, die fotosensitive Bauelemente in dem Substrat aufweisen, entlang der ersten Seite. Die Querschnittansicht von 6 stellt ein Beispiel bereit.
  • Vorgang 6004 ist Bilden einer Mehrzahl von Gräben in dem Substrat entlang einer zweiten Seite des Substrats. Die Querschnittansicht von 7 stellt ein Beispiel bereit.
  • Vorgang 6006 ist Bilden einer ersten dielektrischen Struktur in den Gräben. Die Querschnittansicht von 9 stellt ein Beispiel bereit.
  • Vorgang 6008 ist Bilden eines leitfähigen Gitters in den Gräben, um eine Isolationsstruktur zu bilden, die die erste dielektrische Struktur und das leitfähige Gitter aufweist. Die Querschnittansicht von 11 stellt ein Beispiel bereit.
  • Vorgang 6010 ist Bilden einer Mehrzahl von Öffnungen in dem Substrat entlang der zweiten Seite neben einer Seite der Gräben und über den dielektrischen Isolationen. Die Querschnittansicht von 13 stellt ein Beispiel bereit.
  • Vorgang 6012 ist Bilden einer zweiten dielektrischen Struktur in den Öffnungen. Die Querschnittansicht von 15 und die Querschnittansicht von 29 stellen verschiedene Beispiele bereit.
  • Vorgang 6014 ist Bilden von Durchgangslöchern, die die zweite dielektrische Struktur in den Öffnungen und die dielektrischen Isolationen durchdringen. Die Querschnittansicht von 17 und die Querschnittansicht von 31 stellen verschiedene Beispiele bereit.
  • Vorgang 6016 ist Bilden leitfähiger Strukturen in den Öffnungen und den Durchgangslöchern, um in Kontakt mit dem Interconnect zu sein. Die Querschnittansicht von 19 und die Querschnittansicht von 33 stellen verschiedene Beispiele bereit.
  • Vorgang 6018 ist Bilden von Farbfiltern über dem Substrat über den Pixeln. Die Querschnittansicht von 23 und die Querschnittansicht von 38 stellen verschiedene Beispiele bereit.
  • Vorgang 6020 ist Anordnen von Mikrolinsen über den Farbfiltern liegend. Die Querschnittansicht von 23 und die Querschnittansicht von 38 stellen verschiedene Beispiele bereit.
  • 78 stellt ein Ablaufdiagramm für ein Verfahren 7000 dar, das verwendet werden kann, um einen Bildsensor zu bilden, der in einem Halbleiterbildsensorbauelement gemäß der Offenbarung aufgewiesen ist. Das Verfahren 7000 beginnt mit Vorgang 7002, Bereitstellen eines Substrats mit einem Interconnect bei einer ersten Seite des Substrats angeordnet, dotierten Isolationen in dem Substrat entlang der ersten Seite und Pixeln, die fotosensitive Bauelemente aufweisen, in dem Substrat entlang der ersten Seite. Die Querschnittansicht von 43 stellt ein Beispiel bereit.
  • Vorgang 7004 ist Bilden einer Mehrzahl von Gräben in dem Substrat entlang einer zweiten Seite des Substrats. Die Querschnittansicht von 45 stellt ein Beispiel bereit.
  • Vorgang 7006 ist Bilden einer ersten dielektrischen Struktur in den Gräben. Die Querschnittansicht von 45 stellt ein Beispiel bereit.
  • Vorgang 7008 ist Bilden eines leitfähigen Gitters in den Gräben, um eine Isolationsstruktur zu bilden, die die erste dielektrische Struktur und das leitfähige Gitter aufweist. Die Querschnittansicht von 45 stellt ein Beispiel bereit.
  • Vorgang 7010 ist Bilden einer Mehrzahl von Öffnungen in dem Substrat entlang der zweiten Seite neben einer Seite der Gräben und über den dotierten Isolationen. Die Querschnittansicht von 47 stellt ein Beispiel bereit.
  • Vorgang 7012 ist Bilden einer zweiten dielektrischen Struktur in den Öffnungen. Die Querschnittansicht von 49 stellt ein Beispiel bereit.
  • Vorgang 7014 ist Bilden von Durchgangslöchern, die die zweite dielektrische Struktur in den Öffnungen durchdringen und die dotierten Isolationen freilegen, wobei die dotierten Isolationen in Kontakt mit dem Interconnect sind. Die Querschnittansicht von 49 stellt ein Beispiel bereit.
  • Vorgang 7016 ist Bilden von leitfähigen Strukturen in den Öffnungen und den Durchgangslöchern, um in Kontakt mit den dotierten Isolationen zu sein. Die Querschnittansicht von 49 stellt ein Beispiel bereit.
  • Vorgang 7018 ist Bilden von Farbfiltern über dem Substrat über den Pixeln. Die Querschnittansicht von 49 stellt ein Beispiel bereit.
  • Vorgang 7020 ist Anordnen von Mikrolinsen über den Farbfiltern liegend. Die Querschnittansicht von 49 stellt ein Beispiel bereit.
  • 79 stellt ein Ablaufdiagramm für ein Verfahren 8000 dar, das verwendet werden kann, um einen Bildsensor zu bilden, der in einem Halbleiterbildsensorbauelement gemäß der Offenbarung aufgewiesen ist. Das Verfahren 8000 beginnt mit Vorgang 80002, Bereitstellen eines Substrats mit einem Interconnect bei einer ersten Seite des Substrats angeordnet, dotierten Isolationen in dem Substrat entlang der ersten Seite und Pixeln, die fotosensitive Bauelemente aufweisen, in dem Substrat entlang der ersten Seite. Die Querschnittansicht von 63 stellt ein Beispiel bereit.
  • Vorgang 8004 ist Bilden einer Mehrzahl von Gräben und einer Mehrzahl von Öffnungen in dem Substrat entlang einer zweiten Seite des Substrats, wobei die Öffnungen die dotierten Isolationen freilegen. Die Querschnittansicht von 65 ein Beispiel bereitstellt.
  • Vorgang 8006 ist Bilden einer ersten dielektrischen Struktur in den Gräben und einer zweiten dielektrischen Struktur in den Öffnungen. Die Querschnittansicht von 67 stellt ein Beispiel bereit.
  • Vorgang 8008 ist Bilden einer Mehrzahl von Durchgangslöchern, die die zweite dielektrische Struktur in den Öffnungen durchdringen und die dotierten Isolationen freilegen, wobei die dotierten Isolationen in Kontakt mit dem Interconnect sind. Die Querschnittansicht von 69 stellt ein Beispiel bereit.
  • Vorgang 8010 ist Bilden eines leitfähigen Gitters in den Gräben, um eine Isolationsstruktur zu bilden, die die erste dielektrische Struktur und das leitfähige Gitter aufweist, und Bilden leitfähiger Strukturen in den Öffnungen und den Durchgangslöchern, um in Kontakt mit den dotierten Isolationen zu sein. Die Querschnittansicht von 71 stellt ein Beispiel bereit.
  • Vorgang 8012 ist Bilden von Farbfiltern über dem Substrat über den Pixeln. Die Querschnittansicht von 75 stellt ein Beispiel bereit.
  • Handlung 8014 ist Anordnen von Mikrolinsen über den Farbfiltern liegend. Die Querschnittansicht von 75 stellt ein Beispiel bereit.
  • Während die Verfahren 6000, 7000 und 8000 von 77 bis 79 hierin als eine Reihe von Vorgängen oder Ereignissen veranschaulicht und beschrieben werden, wird begrüßt werden, dass die veranschaulichte Reihung solcher Vorgänge oder Ereignisse nicht in einem begrenzenden Sinne auszulegen ist. Zum Beispiel können manche Vorgänge in unterschiedlichen Reihungen und/oder gleichzeitig mit anderen Vorgängen oder Ereignissen nebst den hierin veranschaulichten und/oder beschriebenen stattfinden. Weiter können nicht alle veranschaulichten Vorgänge benötigt werden, um einen oder mehrere Aspekte oder Ausführungsformen der Beschreibung hierin zu implementieren und ein oder mehrere der hierin abgebildeten Vorgänge können in einem oder mehreren separaten Vorgängen und/oder einer oder mehreren separaten Phasen umgesetzt werden.
  • In Übereinstimmung mit manchen Ausführungsformen weist ein Bildsensor ein Pixel und eine Isolationsstruktur auf. Das Pixel weist ein fotosensitives Gebiet und ein Schaltkreisgebiet neben dem fotosensitiven Gebiet auf. Die Isolationsstruktur liegt über dem Pixel, wobei die Isolationsstruktur ein leitfähiges Gitter und eine dielektrische Struktur, die eine Seitenwand des leitfähigen Gitters abdeckt, aufweist und die Isolationsstruktur ein peripheres Gebiet des fotosensitiven Gebiets umgibt.
  • In Übereinstimmung mit manchen Ausführungsformen weist ein Halbleiterbauelement ein Substrat, ein Interconnect, ein Fotodiodenarray, eine Isolationsstruktur und eine Mehrzahl von leitfähigen Strukturen auf. Das Substrat weist eine erste Seite und eine zweite Seite gegenüber der ersten Seite auf. Das Interconnect liegt an der ersten Seite. Das Fotodiodenarray ist in dem Substrat innerhalb eines aktiven Gebiets des Substrats angeordnet und elektrisch mit dem Interconnect verbunden. Die Isolationsstruktur erstreckt sich von der zweiten Seite des Substrats zu einer Position in dem Substrat innerhalb des aktiven Gebiets, wobei das Fotodiodenarray von der Isolationsstruktur umgeben wird und von dieser beabstandet ist und die Isolationsstruktur ein leitfähiges Gitter aufweist. Die Mehrzahl von leitfähigen Strukturen ist in dem Substrat innerhalb eines peripherischen Gebiets des Substrats angeordnet und elektrisch mit dem Interconnect verbunden, wobei das leitfähige Gitter elektrisch mit dem Interconnect durch die leitfähigen Strukturen verbunden ist und elektrisch von dem Fotodiodenarray isoliert ist.
  • In Übereinstimmung mit manchen Ausführungsformen umfasst ein Verfahren zur Herstellung eines Bildsensors die folgenden Schritte: Bilden eines Pixels in einem Substrat bei einer ersten Seite des Substrats, wobei das Pixel ein fotosensitives Gebiet und ein Schaltkreisgebiet neben dem fotosensitiven Gebiet aufweist; Vertiefen des Substrats bei einer zweiten Seite des Substrats gegenüber der ersten Seite, um einen Gitternetzhohlraum über dem Schaltkreisgebiet und das fotosensitive Gebiet umgebend zu bilden; Anordnen einer ersten dielektrischen Struktur in dem Gitternetzhohlraum; Bilden eines leitfähigen Gitters auf der ersten dielektrischen Struktur in dem Gitternetzhohlraum, um eine Isolationsstruktur zu bilden, die die erste dielektrische Struktur und das leitfähige Gitter aufweist; Vertiefen des Substrats bei der zweiten Seite des Substrats, um eine Mehrzahl von Öffnungen neben einer Seite vom Gitternetzhohlraum zu bilden; Anordnen einer zweiten dielektrischen Struktur in den Öffnungen; Bilden einer Mehrzahl von leitfähigen Strukturen auf der zweiten dielektrischen Struktur in den Öffnungen, wobei die leitfähigen Strukturen elektrisch mit dem leitfähigen Gitter der Isolationsstruktur verbunden sind und die Isolationsstruktur elektrisch von dem Pixel isoliert ist.
  • Das Vorangehende umreißt Merkmale einiger Ausführungsformen, sodass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen werden. Fachleute werden begrüßen, dass sie die vorliegende Offenbarung bereits als eine Basis dafür verwenden können, andere Prozesse und Strukturen zum Umsetzen derselben Zwecke und/oder Erzielen derselben Vorteile der hierin vorgestellten Ausführungsformen zu gestalten oder zu modifizieren. Fachleute sollten auch erkennen, dass solche gleichwertigen Konstruktionen nicht von dem Wesen und Umfang der Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hierin vornehmen können, ohne von dem Wesen und Umfang der Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/137871 [0001]

Claims (20)

  1. Bildsensor, aufweisend: ein Pixel, das ein fotosensitives Gebiet und ein Schaltkreisgebiet neben dem fotosensitiven Gebiet aufweist; und eine Isolationsstruktur, die ein Umfangsgebiet des fotosensitiven Gebiets umgibt, wobei die Isolationsstruktur aufweist: ein leitfähiges Gitter; und eine dielektrische Struktur, die eine Seitenwand des leitfähigen Gitters abdeckt.
  2. Bildsensor nach Anspruch 1, wobei die Isolationsstruktur von dem Schaltkreisgebiet überlappt wird und die Isolationsstruktur elektrisch von dem Pixel isoliert ist.
  3. Bildsensor nach Anspruch 1 oder 2, wobei die dielektrische Struktur weiter eine Bodenoberfläche des leitfähigen Gitters abdeckt.
  4. Bildsensor nach einem der vorstehenden Ansprüche, wobei ein Material des leitfähigen Gitters ein Metall oder eine Metalllegierung enthält.
  5. Bildsensor nach einem der vorstehenden Ansprüche, weiter aufweisend: ein Substrat, das ein aktives Gebiet und ein peripheres Gebiet neben dem aktiven Gebiet aufweist, wobei das Pixel und die Isolationsstruktur in dem Substrat innerhalb des aktiven Gebiets liegen; und eine leitfähige Struktur, die in dem Substrat innerhalb des peripheren Gebiets liegt, wobei das leitfähige Gitter elektrisch mit der leitfähigen Struktur verbunden ist.
  6. Bildsensor nach Anspruch 5, wobei das leitfähige Gitter von dem Substrat durch die dielektrische Struktur getrennt ist.
  7. Bildsensor nach Anspruch 5 oder 6, wobei ein Material des leitfähigen Gitters und ein Material der leitfähigen Struktur identisch sind.
  8. Bildsensor nach einem der Ansprüche 5 bis 7, wobei das leitfähige Gitter und die leitfähige Struktur ganzheitlich sind.
  9. Bildsensor nach einem der Ansprüche 5 bis 8, weiter aufweisend: eine leitfähige Strukturanordnung, die auf dem Substrat innerhalb des peripheren Gebiets liegt, wobei das leitfähige Gitter elektrisch mit der leitfähigen Struktur durch die leitfähige Strukturanordnung verbunden ist.
  10. Bildsensor nach Anspruch 9, wobei ein Material der leitfähigen Struktur und ein Material der leitfähigen Strukturanordnung identisch sind.
  11. Bildsensor nach Anspruch 10, wobei ein Material des leitfähigen Gitters und das Material der leitfähigen Struktur identisch sind.
  12. Bildsensor nach einem der Ansprüche 9 bis 11, wobei das leitfähige Gitter, die leitfähige Struktur und die leitfähige Strukturanordnung ganzheitlich sind.
  13. Bildsensor nach einem der vorstehenden Ansprüche, wobei das leitfähige Gitter in einer Form einer konformen Schicht oder in einer Form eines festen Bulks ausgebildet ist.
  14. Halbleitervorrichtung, aufweisend: ein Substrat, das eine erste Seite und eine zweite Seite entgegengesetzt zu der ersten Seite aufweist; ein Interconnect, das an der ersten Seite liegt; ein Fotodiodenarray, das in dem Substrat innerhalb eines aktiven Gebiets des Substrats angeordnet und elektrisch mit dem Interconnect verbunden ist; eine Isolationsstruktur, die sich von der zweiten Seite des Substrats zu einer Position in dem Substrat innerhalb des aktiven Gebiets erstreckt, wobei das Fotodiodenarray von der Isolationsstruktur umgeben wird und davon beabstandet ist und die Isolationsstruktur ein leitfähiges Gitter aufweist; und eine Mehrzahl von leitfähigen Strukturen, die in dem Substrat innerhalb eines peripheren Gebiets des Substrats angeordnet und elektrisch mit dem Interconnect verbunden sind, wobei das leitfähige Gitter elektrisch mit dem Interconnect durch die leitfähigen Strukturen verbunden ist und elektrisch von dem Fotodiodenarray isoliert ist.
  15. Halbleitervorrichtung nach Anspruch 14, wobei ein Abschnitt der Isolationsstruktur zwischen zwei benachbarten Fotodioden des Fotodiodenarrays in dem Substrat innerhalb des aktiven Gebiets entlang einer Richtung senkrecht zu einer Stapelrichtung des Substrats und des Interconnects liegt.
  16. Halbleitervorrichtung nach Anspruch 14 oder 15, weiter aufweisend: eine Mehrzahl von ersten Isolationen, die in dem Substrat an der ersten Seite liegen und elektrisch von dem Interconnect isoliert sind, wobei die leitfähigen Strukturen durch die ersten Isolationen dringen, um sich elektrisch mit dem Interconnect zu verbinden; oder eine Mehrzahl von zweiten Isolationen, die in dem Substrat an der ersten Seite liegen und elektrisch mit dem Interconnect verbunden sind, wobei die leitfähigen Strukturen in Kontakt mit den zweiten Isolationen sind, um sich elektrisch mit dem Interconnect zu verbinden, wobei die zweiten Isolationen dotierte Gebiete aufweisen.
  17. Verfahren zur Herstellung eines Bildsensors, umfassend: Bilden eines Pixels in einem Substrat an einer ersten Seite des Substrats, wobei das Pixel ein fotosensitives Gebiet und ein Schaltkreisgebiet neben dem fotosensitiven Gebiet aufweist; Vertiefen des Substrats an einer zweiten Seite des Substrats entgegengesetzt zu der ersten Seite, um einen Gitternetzhohlraum über dem Schaltkreisgebiet und das fotosensitive Gebiet umgebend zu bilden; Anordnen einer ersten dielektrischen Struktur in dem Gitternetzhohlraum; Bilden eines leitfähigen Gitters auf der ersten dielektrischen Struktur in dem Gitternetzhohlraum, um eine Isolationsstruktur zu bilden, die die erste dielektrische Struktur und das leitfähige Gitter aufweist; Vertiefen des Substrats an der zweiten Seite des Substrats, um eine Mehrzahl von Öffnungen neben einer Seite des Gitternetzhohlraums zu bilden; Anordnen einer zweiten dielektrischen Struktur in den Öffnungen; und Bilden einer Mehrzahl von leitfähigen Strukturen auf der zweiten dielektrischen Struktur in den Öffnungen, wobei die leitfähigen Strukturen elektrisch mit dem leitfähigen Gitter der Isolationsstruktur verbunden sind und die Isolationsstruktur elektrisch von dem Pixel isoliert ist.
  18. Verfahren nach Anspruch 17, wobei: der Gitternetzhohlraum und die Öffnungen gleichzeitig gebildet werden und der Gitternetzhohlraum und die Öffnungen räumlich verbunden sind; die erste dielektrische Struktur und die zweite dielektrische Struktur gleichzeitig gebildet werden; und das leitfähige Gitter und die leitfähigen Strukturen gleichzeitig gebildet werden.
  19. Verfahren nach Anspruch 17 oder 18, weiter umfassend: Bilden eines Interconnects auf dem Substrat an der ersten Seite; Bilden einer Mehrzahl von dielektrischen Isolationen in dem Substrat bei der ersten Seite; und Strukturieren der dielektrischen Isolationen, um eine Mehrzahl von Durchgangslöchern zu bilden, die räumlich mit den Öffnungen verbunden sind; wobei die leitfähigen Strukturen gebildet werden, sich weiter in die Durchgangslöcher zu erstrecken, um das Interconnect und das leitfähige Gitter elektrisch zu verbinden.
  20. Verfahren nach einem der Ansprüche 17 bis 19, weiter umfassend: Bilden eines Interconnects auf dem Substrat an der ersten Seite; und Bilden einer Mehrzahl von dotierten Isolationen in dem Substrat an der ersten Seite, wobei die Öffnungen gebildet werden, um Oberflächen der dotierten Isolationen freizulegen, und wobei die leitfähigen Strukturen gebildet werden, um in Kontakt mit den dotierten Isolationen zu sein, um das Interconnect und das leitfähige Gitter elektrisch durch die dotierten Isolationen zu verbinden.
DE102021113564.8A 2021-01-15 2021-05-26 Bildsensor und herstellungsverfahren dafür Pending DE102021113564A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163137871P 2021-01-15 2021-01-15
US63/137,871 2021-01-15
US17/327,996 2021-05-24
US17/327,996 US11908878B2 (en) 2021-01-15 2021-05-24 Image sensor and manufacturing method thereof

Publications (1)

Publication Number Publication Date
DE102021113564A1 true DE102021113564A1 (de) 2022-07-21

Family

ID=81409581

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021113564.8A Pending DE102021113564A1 (de) 2021-01-15 2021-05-26 Bildsensor und herstellungsverfahren dafür

Country Status (6)

Country Link
US (2) US11908878B2 (de)
JP (1) JP7350106B2 (de)
KR (1) KR20220103606A (de)
CN (1) CN114464637A (de)
DE (1) DE102021113564A1 (de)
TW (1) TWI817161B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11908878B2 (en) * 2021-01-15 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor and manufacturing method thereof
US11557620B2 (en) * 2021-03-30 2023-01-17 Omnivision Technologies, Inc. Metal grid structure integrated with deep trench isolation structure

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6299058B2 (ja) * 2011-03-02 2018-03-28 ソニー株式会社 固体撮像装置、固体撮像装置の製造方法及び電子機器
TWI521685B (zh) 2011-09-21 2016-02-11 聯華電子股份有限公司 影像感測器及其製作方法
JP2015012043A (ja) 2013-06-26 2015-01-19 株式会社東芝 撮像装置およびその製造方法
KR102180102B1 (ko) * 2014-03-07 2020-11-17 삼성전자주식회사 이미지 센서 및 그 제조방법
US9691804B2 (en) 2015-04-17 2017-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Image sensing device and manufacturing method thereof
US9728570B2 (en) * 2015-11-09 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench isolation fabrication for BSI image sensor
KR102545170B1 (ko) * 2015-12-09 2023-06-19 삼성전자주식회사 이미지 센서 및 그 제조 방법
JP2017120851A (ja) 2015-12-28 2017-07-06 株式会社東芝 半導体装置および半導体装置の製造方法
US9806117B2 (en) * 2016-03-15 2017-10-31 Omnivision Technologies, Inc. Biased deep trench isolation
US9985072B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS image sensor with dual damascene grid design having absorption enhancement structure
JP7038494B2 (ja) * 2017-06-15 2022-03-18 ルネサスエレクトロニクス株式会社 固体撮像素子
US10510788B2 (en) * 2017-10-31 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor image sensor
WO2019093149A1 (ja) * 2017-11-09 2019-05-16 ソニーセミコンダクタソリューションズ株式会社 固体撮像装置、および電子機器
EP3709358B1 (de) * 2017-11-09 2024-02-21 Sony Semiconductor Solutions Corporation Festkörperbildaufnahmevorrichtung und elektronische vorrichtung
US11393867B2 (en) 2017-12-06 2022-07-19 Facebook Technologies, Llc Multi-photodiode pixel cell
KR102589608B1 (ko) * 2018-10-22 2023-10-16 삼성전자주식회사 이미지 센서 및 이의 제조 방법
TWI679755B (zh) 2018-10-23 2019-12-11 力晶積成電子製造股份有限公司 用於全局式快門的互補式金屬氧化物半導體影像感測器
US11908878B2 (en) * 2021-01-15 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor and manufacturing method thereof
US11557620B2 (en) * 2021-03-30 2023-01-17 Omnivision Technologies, Inc. Metal grid structure integrated with deep trench isolation structure
US20220344383A1 (en) * 2021-04-27 2022-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Backside structure for image sensor

Also Published As

Publication number Publication date
TW202230765A (zh) 2022-08-01
KR20220103606A (ko) 2022-07-22
CN114464637A (zh) 2022-05-10
US20220231058A1 (en) 2022-07-21
JP7350106B2 (ja) 2023-09-25
US11908878B2 (en) 2024-02-20
JP2022109893A (ja) 2022-07-28
TWI817161B (zh) 2023-10-01
US20230395631A1 (en) 2023-12-07

Similar Documents

Publication Publication Date Title
DE102017123338B4 (de) Verfahren zur qualitätssteigerung durch doppeiseitige multiabsorptionsstruktur
DE102018122789B4 (de) Rissbeständige tiefe Grabenisolationsstrukturen
DE102018130470A1 (de) Pixelvorrichtung auf struktur tiefer grabenisolierung (dti) für bildsensor
DE102017119031A1 (de) Bildsensor mit einer hochabsorbierenden schicht
DE102021119400A1 (de) Rückseitenstruktur für bildsensor
DE202010018528U1 (de) Halbleiter-Einrichtung und elektronische Vorrichtung
DE102021113564A1 (de) Bildsensor und herstellungsverfahren dafür
DE102020100097B4 (de) Bildsensor mit einer überlappung einer rückseitigen grabenisolationsstruktur mit einem vertikalen transfergate
DE102020125936A1 (de) Rückseitige tiefe grabenisolationsstruktur für bildsensor
DE102018108146A1 (de) Bildsensor mit padstruktur
DE102018107914B4 (de) Erhöhter optischer Pfad für Licht mit langer Wellenlänge durch eine Gitterstruktur
DE102018122628B4 (de) CMOS Bildsensor mit gezackter Fotodiodenstruktur
DE102021113051A1 (de) Halbleitervorrichtung mit bildsensor und verfahren zu deren herstellung
DE102021104497A1 (de) Bildsensor mit passivierungsschicht zur dunkelstromreduzierung
DE102021109737A1 (de) Germaniumhaltiger photodetektor und verfahren zu seiner herstellung
DE102017117948B4 (de) Cmos-bildsensor mit dual-damascene-gitterdesign mit einer absorptionsverstärkungsstruktur
DE102018106754A1 (de) Bildsensor mit absorptionsverbesserungshalbleiterschicht
DE102018126421A1 (de) Bandpassfilter für gestapelten sensor
DE102018122505A1 (de) Rückseitige Tiefgrabenisolier- (BDTI) Struktur für Bildsensor mit Pinned-Photodiode
DE102023111355A1 (de) Eine dielektrikumstruktur für kleine pixeldesigns
DE102018124352B4 (de) Farbfilter-gleichförmigkeit für bildsensorvorrichtungen
DE102023100492A1 (de) Bildsensor mit gate-dielektrikum-struktur für eine verbesserte skalierung von vorrichtungen
DE102022100792A1 (de) Tiefe grabenisolation zur reduzierung der kreuzkopplung
DE102023102588A1 (de) Bildsensor mit verbesserter struktur für kleine pixeldesigns
DE102021101187A1 (de) Grabenisolationsstruktur für bildsensoren

Legal Events

Date Code Title Description
R012 Request for examination validly filed