JP7350106B2 - イメージセンサ及びその製造方法 - Google Patents

イメージセンサ及びその製造方法 Download PDF

Info

Publication number
JP7350106B2
JP7350106B2 JP2022004093A JP2022004093A JP7350106B2 JP 7350106 B2 JP7350106 B2 JP 7350106B2 JP 2022004093 A JP2022004093 A JP 2022004093A JP 2022004093 A JP2022004093 A JP 2022004093A JP 7350106 B2 JP7350106 B2 JP 7350106B2
Authority
JP
Japan
Prior art keywords
conductive
substrate
image sensor
dielectric layer
grid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022004093A
Other languages
English (en)
Other versions
JP2022109893A (ja
Inventor
敏峰 高
敦年 楊
人誠 劉
文昌 郭
昇照 陳
豐基 洪
昇展 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022109893A publication Critical patent/JP2022109893A/ja
Application granted granted Critical
Publication of JP7350106B2 publication Critical patent/JP7350106B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14623Optical shielding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14689MOS based technologies

Landscapes

  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Element Separation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Light Receiving Elements (AREA)

Description

本開示は、イメージセンサ及びその製造方法全般に関連する。
半導体イメージセンサは、光等の放射を感知するのに使用される。相補型金属酸化膜半導体(CMOS)イメージセンサ及び電荷結合素子(CCD)センサは、デジタルスチルカメラ又はモバイルフォンカメラの用途等、種々の用途で広範に使用される。これらのセンサは、フォトダイオードと、基板に向かって投影された放射を吸収し、感知した放射を電気信号に変換することができるトランジスタとを含む、基板内の画素アレイを利用する。
技術が進化するにつれて、CMOSイメージセンサ(CIS)は、CMOSイメージセンサに本質的に備わっているある効果の故にCCDを超える人気を博している。特に、CMOSイメージセンサは、画像取得率が高く、作動電圧がより低く、電力消費がより低く、及びノイズ耐性がより高く、ランダムなアクセスを許容する。さらに、CMOSイメージセンサは、論理素子及びメモリ素子と同一の大容量のウェハ処理で製造されてもよい。
技術的課題
本開示は、問題となる漏洩電流とクロストークとを解決することが意図されるもので、隔離構造を備えたイメージセンサと、その製造方法とを提供する。
以上の目的に到達するために、本開示は、画素と、隔離構造と、を含むイメージセンサを提供する。前記画素は、感光領域と、前記感光領域の隣の回路領域と、を備える。前記隔離構造は、前記画素の上方に配置され、導電格子と、前記導電格子の側壁を被覆する誘電構造と、を備え、前記感光領域の周辺領域を包囲する。
以上の目的に到達するために、本開示は、基板と、相互接続と、フォトダイオードアレイと、隔離構造と、複数の導電構造と、を備える半導体装置も提供する。前記基板は、第1の側と、前記第1の側の反対の第2の側と、を有する。前記相互接続は、前記第1の側に配置される。前記フォトダイオードアレイは、前記基板内において、前記基板のアクティブ領域内部に配置され、前記相互接続と電気的に接続される。前記隔離構造は、前記基板の前記第2の側から、前記基板内のアクティブ領域内部のある位置まで延設され、前記フォトダイオードアレイは、前記隔離構造に包囲され、前記隔離構造から離間し、前記隔離構造は、導電格子を備える。前記複数の導電構造は、前記基板内において、前記基板の周辺領域内部に配置され、前記相互接続と電気的に接続され、前記導電格子は、前記導電構造を通じて前記相互接続に電気的に接続され、前記フォトダイオードアレイから電気的に隔離される。
以上の目的に到達するために、本開示は、以下のステップを備えるイメージセンサの製造方法も提供する。すなわち、基板内において、基板の第1の側に画素を形成する工程であって、前記画素が、感光領域、及び前記感光領域の隣の回路領域を備える工程と、前記基板の第1の側の反対の第2の側において、前記基板を窪ませて、前記回路領域の上方に、前記感光領域を包囲するように、格子メッシュ空洞を形成する工程と、前記格子メッシュ空洞内に第1の誘電構造を配置する工程と、前記格子メッシュ空洞内の前記第1の誘電構造上に、導電格子を形成し、前記第1の誘電構造、及び前記導電格子を備えた隔離構造を形成する工程と、前記基板の第2の側において、前記基板を窪ませて、前記格子メッシュ空洞の側の隣に複数の開口を形成する工程と、前記開口内において、第2の誘電構造を配置する工程と、前記開口内の第2の誘電構造上に、複数の導電構造を形成する工程と、を備え、前記導電構造は、前記隔離構造の導電格子に電気的に接続され、前記隔離構造は、前記画素から電気的に隔離される。
以上に基づき、本開示のイメージセンサに含まれる隔離構造にバイアスが付与されるため、隔離構造の側壁に沿って正孔蓄積を生じ、電子が隔離構造付近に捕捉されるのを防いで、イメージセンサにおける漏洩電流と近接ピクセル間のクロストークとを低減する。
本開示の態様は、以下の詳細な説明を添付の図面とともに読むことで最もよく理解される。なお、当分野の標準的な慣行によると、種々の特徴が正寸でない。実際のところ、種々の特徴の寸法は、検討を明確に行うため、任意で増減され得る。
図1は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおいて、回路に接続された画素の列を含むイメージセンサを有した半導体構造のブロック図である。 図2~図3は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおいて、回路に接続された画素の列を含むイメージセンサを示した模式図である。 図2~図3は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおいて、回路に接続された画素の列を含むイメージセンサを示した模式図である。 図4、図6、図7、図9、図11、図13、図15、図17、図19、図21、図23、及び図24は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおける、イメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図5、図8、図10、図12、図14、図16、図18、図20、及び図22は、図4、図7、図9、図11、図13、図15、図17、図19、及び図21に描かれたイメージセンサに含まれる部品の相対位置を示した水平(又は平面)模式図である。 図4、図6、図7、図9、図11、図13、図15、図17、図19、図21、図23、及び図24は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおける、イメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図4、図6、図7、図9、図11、図13、図15、図17、図19、図21、図23、及び図24は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおける、イメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図5、図8、図10、図12、図14、図16、図18、図20、及び図22は、図4、図7、図9、図11、図13、図15、図17、図19、及び図21に描かれたイメージセンサに含まれる部品の相対位置を示した水平(又は平面)模式図である。 図4、図6、図7、図9、図11、図13、図15、図17、図19、図21、図23、及び図24は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおける、イメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図5、図8、図10、図12、図14、図16、図18、図20、及び図22は、図4、図7、図9、図11、図13、図15、図17、図19、及び図21に描かれたイメージセンサに含まれる部品の相対位置を示した水平(又は平面)模式図である。 図4、図6、図7、図9、図11、図13、図15、図17、図19、図21、図23、及び図24は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおける、イメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図5、図8、図10、図12、図14、図16、図18、図20、及び図22は、図4、図7、図9、図11、図13、図15、図17、図19、及び図21に描かれたイメージセンサに含まれる部品の相対位置を示した水平(又は平面)模式図である。 図4、図6、図7、図9、図11、図13、図15、図17、図19、図21、図23、及び図24は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおける、イメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図5、図8、図10、図12、図14、図16、図18、図20、及び図22は、図4、図7、図9、図11、図13、図15、図17、図19、及び図21に描かれたイメージセンサに含まれる部品の相対位置を示した水平(又は平面)模式図である。 図4、図6、図7、図9、図11、図13、図15、図17、図19、図21、図23、及び図24は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおける、イメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図5、図8、図10、図12、図14、図16、図18、図20、及び図22は、図4、図7、図9、図11、図13、図15、図17、図19、及び図21に描かれたイメージセンサに含まれる部品の相対位置を示した水平(又は平面)模式図である。 図4、図6、図7、図9、図11、図13、図15、図17、図19、図21、図23、及び図24は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおける、イメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図5、図8、図10、図12、図14、図16、図18、図20、及び図22は、図4、図7、図9、図11、図13、図15、図17、図19、及び図21に描かれたイメージセンサに含まれる部品の相対位置を示した水平(又は平面)模式図である。 図4、図6、図7、図9、図11、図13、図15、図17、図19、図21、図23、及び図24は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおける、イメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図5、図8、図10、図12、図14、図16、図18、図20、及び図22は、図4、図7、図9、図11、図13、図15、図17、図19、及び図21に描かれたイメージセンサに含まれる部品の相対位置を示した水平(又は平面)模式図である。 図4、図6、図7、図9、図11、図13、図15、図17、図19、図21、図23、及び図24は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおける、イメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図5、図8、図10、図12、図14、図16、図18、図20、及び図22は、図4、図7、図9、図11、図13、図15、図17、図19、及び図21に描かれたイメージセンサに含まれる部品の相対位置を示した水平(又は平面)模式図である。 図4、図6、図7、図9、図11、図13、図15、図17、図19、図21、図23、及び図24は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおける、イメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図4、図6、図7、図9、図11、図13、図15、図17、図19、図21、図23、及び図24は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおける、イメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図25は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示した垂直(又は横断面)模式図である。 図26及び図27は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示した垂直(又は横断面)及び水平(又は平面)模式図である。 図26及び図27は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示した垂直(又は横断面)及び水平(又は平面)模式図である。 図28は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示す垂直(又は横断面)模式図である。 図29、図31、図33、図35、図37、及び図38は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図30、図32、図34、及び図36は、図29、図31、図33、及び図35に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図29、図31、図33、図35、図37、及び図38は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図30、図32、図34、及び図36は、図29、図31、図33、及び図35に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図29、図31、図33、図35、図37、及び図38は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図30、図32、図34、及び図36は、図29、図31、図33、及び図35に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図29、図31、図33、図35、図37、及び図38は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図30、図32、図34、及び図36は、図29、図31、図33、及び図35に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図29、図31、図33、図35、図37、及び図38は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図29、図31、図33、図35、図37、及び図38は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図39は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示す垂直(又は横断面)模式図である。 図40及び図41は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示す垂直(又は横断面)及び水平(又は平面)模式図である。 図40及び図41は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示す垂直(又は横断面)及び水平(又は平面)模式図である。 図42は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示す模式垂直(又は横断面)図である。 図43、図45、図47、及び図49は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図44、図46、図48、及び図50は、図43、図45、図47、及び図49に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図43、図45、図47、及び図49は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図44、図46、図48、及び図50は、図43、図45、図47、及び図49に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図43、図45、図47、及び図49は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図44、図46、図48、及び図50は、図43、図45、図47、及び図49に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図43、図45、図47、及び図49は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図44、図46、図48、及び図50は、図43、図45、図47、及び図49に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図51は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示す垂直(又は横断面)模式図である。 図52及び図53は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示す垂直(又は横断面)及び水平(又は平面)模式図である。 図52及び図53は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示す垂直(又は横断面)及び水平(又は平面)模式図である。 図54は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示す垂直(又は横断面)模式図である。 図55~図58は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサの種々の実施形態を示す垂直(又は横断面)模式図である。 図55~図58は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサの種々の実施形態を示す垂直(又は横断面)模式図である。 図55~図58は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサの種々の実施形態を示す垂直(又は横断面)模式図である。 図55~図58は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサの種々の実施形態を示す垂直(又は横断面)模式図である。 図59~図62は、図49、図51、図52、図54、及び図55~図58にアウトラインを示したダッシュ線エリアC、D、E、及びFにおける導電構造とイメージセンサのドープ領域との間の接合の種々の実施形態を示す拡大及び垂直(又は横断面)模式図である。 図59~図62は、図49、図51、図52、図54、及び図55~図58にアウトラインを示したダッシュ線エリアC、D、E、及びFにおける導電構造とイメージセンサのドープ領域との間の接合の種々の実施形態を示す拡大及び垂直(又は横断面)模式図である。 図59~図62は、図49、図51、図52、図54、及び図55~図58にアウトラインを示したダッシュ線エリアC、D、E、及びFにおける導電構造とイメージセンサのドープ領域との間の接合の種々の実施形態を示す拡大及び垂直(又は横断面)模式図である。 図59~図62は、図49、図51、図52、図54、及び図55~図58にアウトラインを示したダッシュ線エリアC、D、E、及びFにおける導電構造とイメージセンサのドープ領域との間の接合の種々の実施形態を示す拡大及び垂直(又は横断面)模式図である。 図63、図65、図67、図69、図71、図73、及び図75は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図64、図66、図68A、図68B、図70A、図70B、図72A、図72B、及び図74は、図63、図65、図67、図69、図71、及び図73に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図63、図65、図67、図69、図71、図73、及び図75は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図64、図66、図68A、図68B、図70A、図70B、図72A、図72B、及び図74は、図63、図65、図67、図69、図71、及び図73に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図63、図65、図67、図69、図71、図73、及び図75は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図64、図66、図68A、図68B、図70A、図70B、図72A、図72B、及び図74は、図63、図65、図67、図69、図71、及び図73に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図63、図65、図67、図69、図71、図73、及び図75は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図64、図66、図68A、図68B、図70A、図70B、図72A、図72B、及び図74は、図63、図65、図67、図69、図71、及び図73に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図63、図65、図67、図69、図71、図73、及び図75は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図64、図66、図68A、図68B、図70A、図70B、図72A、図72B、及び図74は、図63、図65、図67、図69、図71、及び図73に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図63、図65、図67、図69、図71、図73、及び図75は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図64、図66、図68A、図68B、図70A、図70B、図72A、図72B、及び図74は、図63、図65、図67、図69、図71、及び図73に描かれたイメージセンサに含まれる部品の相対位置を示す水平(又は平面)模式図である。 図63、図65、図67、図69、図71、図73、及び図75は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを製造する方法を示す垂直(又は横断面)模式図である。 図76は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示す垂直(又は横断面)模式図である。 図77~図79は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサの種々の製造方法を示すフローチャートである。 図77~図79は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサの種々の製造方法を示すフローチャートである。 図77~図79は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサの種々の製造方法を示すフローチャートである。
詳細な説明
以下の開示では、提供する主題の異なる特徴を実現するために、多数の異なる実施形態又は例を提供する。本開示を簡易化するために、部品、値、動作、材料、配置等の具体例を以下に説明する。当然のことながら、これらは、単なる例示であり、限定を意図するものでない。他の部品、値、動作、材料、配置等が考えられる。例えば、以下の説明の中で、第2の特徴の上方又は上に第1の特徴を形成するとした場合、第1及び第2の特徴が直接接触して形成される実施形態が含まれてもよく、また追加の特徴が第1及び第2の特徴の間に形成されて、第1及び第2の特徴が直接接触しなくてもよい実施形態も含まれてよい。さらに、本開示は、種々の実施形態において、参照数字、及び/又は、文字を反復することがある。この反復は、簡潔さと明確さを目的としたものであり、それ自体が、種々の実施形態間、及び/又は、検討される構成間の関係を指示するものでない。
さらに、図に示される1つの要素又はと特徴の、他の要素又は特徴に対する関係を説明する際に、その説明を簡単にするため、「~の下」「~の下側」「下方」「~の上」「上方」等、空間的に相対的な用語が本明細書中で使用されることがある。空間的に相対的な用語は、図に描かれた向きに加え、使用中又は動作中の装置の異なる向きも包含することが意図されている。装置は、それ以外の向きであってもよく(90度回転されるか、又は他の向き)、本明細書中で使用される空間的に相対的な記述は、それに応じて同様に解釈されてもよい。
さらに、図に示される同様又は異なる要素又は特徴を説明する際にその説明を簡単にするため、本明細書中では「第1の」「第2の」「第3の」等の用語が使用されることがあり、またこれらの用語は、説明の有無又は文脈の順に応じて、相互に変更可能に使用されることがある。
CMOSイメージセンサは、感光絵素(画素)のアレイを備え、各々、トランジスタ、キャパシタ、及び感光要素を備えてもよい。CMOSイメージセンサは、感光CMOS回路を利用して、フォトンを電子に変換する。感光CMOS回路は、基板内に形成されたフォトダイオードを備える。フォトダイオードが露光されると、電荷がフォトダイオード内に誘発される。各画素は、光が対象シーンから画素に入射するとき画素上に落ちる光の量に応じて、電子を生成できる。さらに、電子は、画素内で電圧信号に変換され、さらに、A/Dコンバータによってデジタル信号に転換される。複数の周辺回路が、デジタル信号を受信し、これらを処理して対象シーンの画像を表示する。結果として、CMOSイメージセンサ装置(例えば、CMOSイメージセンサを備えた半導体チップ又はダイ)は、イメージセンサと、増幅器、A/Dコンバータ等、任意の必要な論理回路と、の双方を備えてもよい。
CMOSイメージセンサは、基板の頂上部に形成された、誘電層及び相互接続金属層等、複数の追加層を備えてもよく、相互接続層を使用して、フォトダイオードを周辺回路に連結する。CMOSイメージセンサの追加層を有する側は、一般的に、表面側と称され、基板を有する側は、背面側と称される。光路の差異に応じて、CMOSイメージセンサは、さらに、2つの主なカテゴリー、すなわち、表面側照明(FSI)イメージセンサと、背面側照明(BSI)イメージセンサと、に分割可能である。
以上のことを鑑みると、イメージセンサと、その製造方法とを、種々の例示的な実施形態に応じて提供する。種々の実施形態を具体的に扱うのに先立って、本開示の実施形態の或る効果的特徴及び態様全般について取り扱う。イメージセンサは、イメージセンサの性能を向上するために、量子効率(QE)を向上し、クロストーク(Xtalk)を抑制するように適合されてもよい、導電格子を有する隔離構造を備えている。以下、半導体基板とともに、その上に重ねられた相互接続と、その内部に配置されたフォトダイオードと、基板上に重なってフォトダイオードを包囲する導電格子を有した隔離構造と、を有する集積回路を備えたイメージセンサについて説明する。ここで導電格子及び相互接続は、半導体基板の反対の2つの側方に配置され、互いに電気的に接続される。加えて、カラーフィルタと、マイクロレンズとがさらに、導電格子上方に配置され、フォトダイオードに重なる。導電格子は、光を反射することができ、1つの画素に入った入射光が、それに隣接する画素には確実に入らないようにし、隣接する画素間の隔離を提供するもので、両者間の潜在的なクロストークを抑制する。さらに、導電格子は、高い反射率を有するため、画素に戻すように(導電格子に当たった)光を反射することにより、光が画素に入射したときにその画素に落ちる光の量が向上されてもよく、これにより、画素の量子効率を向上する。このような導電格子により、イメージセンサの性能が向上する。いくつかの実施形態に係る、導電格子を備えたイメージセンサを形成する中間段階が示されている。いくつかの実施形態のいくつかのバリエーションについて検討する。種々の図面と例示的な実施形態を通じて、同様の参照符号を使用して同様の要素を示す。
図1は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサにおける、回路に接続された画素の列を含むイメージセンサを有した半導体構造((半導体)イメージセンサ装置、ダイ、又はチップ等)のブロック図である。
図1を参照すると、いくつかの実施形態において、イメージセンサ10と、集積回路20と、を備えたイメージセンサダイの例示的な機能が示されている。いくつかの実施形態において、イメージセンサ10は、画素11の格子又はアレイを備える。画素11は、N×N又はN×Mのアレイ(N、M>0、Nは、Mと等しくてもよく、等しくなくてもよい)等、マトリックス形態に配置されてもよい。画素11のアレイのサイズは、要求に応じて設計及び選択可能であり、本開示のものに限定されない。例えば、画素11は、図1に描かれた5×5アレイに配置されてもよい。画素11は、本開示において、センサ要素と称されてもよい。イメージセンサ10における画素11の各列は、集積回路20に電気的に接続された相互接続又は金属間ライン13を共有してもよく、画素出力を集積回路20に移送するようにしてもよい。例えば、イメージセンサ10は、各画素11における電圧信号を生成し、これがさらに、集積回路20に処理されるデジタル信号に転換される。
いくつかの実施形態において、集積回路20は、読み出し回路部品21と、信号処理回路部品22と、出力回路部品23と、を備える。画素11のアレイから出力された信号は、読み出し回路部品21によって読み取られる。読み出し回路部品21によって読み出された信号は、信号処理回路部品22によって処理される。信号処理回路部品22からの処理済みの信号は、出力回路部品23によってなされた、イメージセンサ用途のための出力を生成する。要求やレイアウト設計に基づき、より多くの回路部品、又はより少ない回路部品が集積回路20に含まれてもよく、本開示はこれに限定されるものでない。
いくつかの実施形態において、アクセス回路12等、他の回路もイメージセンサ10上に形成され、動作中に画素を有効にする。例えば、アクセス回路12は、ローリングシャッタ回路又はグローバルシャッタ回路を備える。代替の実施形態において、アクセス回路12は、各画素11内に一体化されてもよい。
図2~図3は、本開示のいくつかの実施形態に係る、(半導体)イメージセンサダイにおける、回路と接続された画素の列を含むイメージセンサを示す模式図である。図2及び図3において、簡潔に示すため、イメージセンサ10に含まれる画素11のうちの1つのみが、代表として示されている。図2を参照すると、いくつかの実施形態において、画素11は、感光素子PD、第1のトランスファゲートトランジスタTG1、記憶素子SD、及び駆動回路DCを備え、アクセス回路12に含まれるシャッタゲートトランジスタSHGに電気的に接続される。
いくつかの実施形態において、感光素子PDは、第1のドープ領域102aと、第2のドープ領域104aとによって形成されたP-N接合からなる。いくつかの実施形態において、第1のドープ領域102aは、n型ドーパントでドープされ、第2のドープ領域104aは、p型ドーパントでドープされる。しかしながら、本開示に限定されるものでないと解釈される。イメージセンサ10を構成する半導体基板の導電種別に応じて、第1のドープ領域102aと第2のドープ領域104a内のドーパントは、相互に変更可能である。入射光を放射すると、感光素子PDは、入射光に応じて、画像電荷を蓄積することができる。例えば、感光素子PDは、フォトダイオードを備える。なお、フォトダイオードは、単に、感光素子PDの例示としての機能を果たすものであり、本開示はこれに限定されるものでない。入射光の放射に際して画像電荷を蓄積することのできる限り、他の好適な感光素子が適合されてもよい。例えば、感光素子PDには、電荷ストレージを備えたメモリ素子が含まれてもよい。
いくつかの実施形態において、シャッタゲートトランジスタSHGが、感光素子PDに連結される。例えば、シャッタゲートトランジスタSHGのソース又はドレインが、電圧Vaaに連結され、感光素子PDに蓄積された画像電荷を選択的に枯渇させる。いくつかの実施形態において、第1のトランスファゲートトランジスタTG1は、感光素子PDと記憶素子SDとの間に配置される。いくつかの実施形態において、第1のトランスファゲートトランジスタTG1は、感光素子PDに蓄積された画像電荷の記憶素子SDへの移送を制御することができる。例えば、イメージセンサ10の動作中、第1のトランスファゲートトランジスタTG1は、移送信号を受信し、この移送信号に基づき、感光素子PDに蓄積された画像電荷の記憶素子SDへの移送を実施することができる。
いくつかの実施形態において、記憶素子SDは、第1のトランスファゲートトランジスタTG1と感光素子PDに連結され、感光素子PDに蓄積された画像電荷を受け取り、受け取った画像電荷を枯渇領域内に溜める。図2に示されるとおり、記憶素子SDは、感光素子PDに隣接してもよい。いくつかの実施形態において、記憶素子SDは、第1のドープ領域102bと、第2のドープ領域104bと、記憶ゲート電極SGと、を備える。いくつかの実施形態において、画像電荷は、第1のドープ領域102bと、第2のドープ領域104bと、第2のドープ領域104b下方の半導体基板(例えば、図4~図24に示される半導体基板100a/100)に溜められる。記憶素子SDの第1のドープ領域102bと、感光素子PDの第1のドープ領域102aは、同一のステップで同時に形成されてもよい。同様に、記憶素子SDの第2のドープ領域104bと、感光素子PDの第2のドープ領域104aとは、これらもまた、同一のステップで同時に形成可能である。しかしながら、本開示はこれに限定されるものでない。いくつかの他の実施形態において、第1のドープ領域102a、102bと、第2のドープ領域104a、104bとは、異なるステップで個別に形成されてもよい。感光素子PDの構造については、図4~図24と併せて、後にさらに詳細に検討する。
いくつかの実施形態において、駆動回路DCが、記憶素子SDに隣接して配置される。駆動回路DCは、第2のトランスファゲートトランジスタTG2と、浮遊拡散FDと、リセットトランジスタRSTと、ソースフォロワトランジスタSFと、行選択トランジスタRSと、を備える。いくつかの実施形態において、第2のトランスファゲートトランジスタTG2は、記憶素子SDの出力に連結される。第1のトランスファゲートトランジスタTG1と同様に、第2のトランスファゲートトランジスタTG2も、記憶素子SDに蓄積された画像電荷を選択的に浮遊拡散FDに移送する機能を提供する。いくつかの実施形態において、第2のトランスファゲートトランジスタTG2と、記憶ゲート電極SGとは、協働して、記憶素子SDに溜められた画像電荷を浮遊拡散FDに移送してもよい。例えば、バイアスが、記憶ゲート電極SGと、第2のトランスファゲートトランジスタTG2のゲートとに付与され、電荷の移動のためのチャンネルが生成されるように、電界を生成してもよい。いくつかの実施形態において、生成された電界により、第1のドープ領域102b、第2のドープ領域104b、第2のドープ領域104bの下方の半導体基板に溜められた電荷は、第1のドープ領域102b及び第2のドープ領域104bから引き抜かれ、記憶素子SDに隣接した第2のトランスファゲートトランジスタTG2のチャンネルに入る。その後、これらの電荷は、第2のトランスファゲートトランジスタTG2のチャンネルを通じて進行し、浮遊拡散FDに到達してもよい。いくつかの実施形態において、第2のトランスファゲートトランジスタTG2のドレインは、記憶素子SDのドレインとして機能してもよい。
いくつかの実施形態において、浮遊拡散FDは、読み出しノードと称される。浮遊拡散FDは、例えば、少なくとも部分的にpウェル内に形成された、低濃度ドープn型領域である。いくつかの実施形態において、浮遊拡散FDは、画像電荷を溜めるためのキャパシタとして機能してもよい。
図2に示されるとおり、いくつかの実施形態において、リセットトランジスタRSTは、浮遊拡散FD及び電圧Vpixに連結され、浮遊拡散FD内の画像電荷を選択的にリセットする。例えば、リセットトランジスタRSTは、浮遊拡散FDの放電及び充電を行い、リセット信号に応じて電圧を事前設定してもよい。いくつかの実施形態において、ソースフォロワトランジスタSFは、浮遊拡散FDと電圧Vaaに連結される。例えば、ソースフォロワトランジスタSFは、高インピーダンスの出力を提供することができる。ソースフォロワトランジスタSFは、読み出し動作のために、浮遊拡散FDの信号を増幅することのできる増幅器トランジスタであってもよい。いくつかの実施形態において、行選択トランジスタRSは、ソースフォロワトランジスタSFに連結される。いくつかの実施形態において、行選択トランジスタRSの他の端部は、読み出し列ライン(例えば、相互接続又は金属間ライン13)に連結されて、画像データPixоutを選択的に出力する。
いくつかの実施形態において、駆動回路DCは、読み出し機能を実施するため、駆動回路DCは、集積回路20に含まれる読み出し回路21に加えて、読み出し回路と称される。さらに、図2に示されたイメージセンサ10の模式図(又は図面)は単なる例であり、本開示はこれに限定されるものでない。いくつかの他の実施形態において、イメージセンサ10は、異なる回路設計を有してもよい。例えば、第1のトランスファゲートトランジスタTG1が省略されてもよい。いくつかの他の実施形態において、駆動回路DCの部品のレイアウトは、回路の要件に応じて変更されてもよい。例えば、駆動回路DCが、図2の4トランジスタ(4T)回路に描かれている。しかしながら、いくつかの代替の実施形態において、駆動回路DCは、3T回路であってもよく、5T回路であってもよく、又はその他任意の好適な回路であってもよい。
しかしながら、本開示は、これに限定されるものでない。さらに他の実施形態において、第1のトランスファゲートトランジスタTG1は、感光素子PD内に組み込まれ、第2のトランスファゲートトランジスタTG2は、記憶素子SDに組み込まれることで、駆動回路DCが、リセットトランジスタRSTと、ソースフォロワトランジスタSFと、行選択トランジスタRSとを備えた3トランジスタ(3T)回路となるようにする。
イメージセンサ10の動作について、以下に簡単に説明する。受信する信号が、以前に受信した信号と混同するのを防ぐため、リセットプロセスを最初に実施する。リセットプロセス中、参照電圧VccをリセットトランジスタRSTに付与し、リセットトランジスタRSTをオンにし、電圧Vpixを参照電圧Vccに変化させる。いくつかの実施形態において、参照電圧Vccは、3.3Vであってもよい。その後、浮遊拡散FDの電位を、リセットトランジスタRST及び電圧Vpixによって参照電圧Vccに引き寄せる。一方、記憶ゲート電極SG及び第2のトランスファゲートトランジスタTG2をオンにし、高い基準電圧Vccで、記憶素子SDに以前に溜められた電荷を枯渇させることで、記憶素子SDをリセットできるようにする。いくつかの実施形態において、感光素子PDは、記憶素子SDと併せて枯渇させられる。例えば、電圧Vaaは、基準電圧Vccに設定されてもよく、シャッタゲートトランジスタSHGがオンにされ、感光素子PDに以前に蓄積された電荷を枯渇させるようにしてもよい。なお、この段階において、第1のトランスファゲートトランジスタTG1はオフである。記憶素子がリセットされており、感光素子PDが枯渇させられていることを確認した後、シャッタゲートトランジスタSHGと、第1のトランスファゲートトランジスタTG1と、第2のトランスファゲートトランジスタTG2とがオフにされる。入射光の放射時、画像電荷が感光素子PDに捕捉される。感光素子PDに蓄積された画像電荷にアクセスするため、第1のトランスファゲートトランジスタTG1と、記憶ゲート電極SGとが、オンにされて、感光素子PD内に蓄積された画像電荷が記憶素子内に移送されるようにする。記憶素子SD内に溜められた画像電荷にアクセスするために、記憶ゲート電極SGと、第2のトランスファゲートトランジスタTG2とがオンにされ、記憶素子SDの空乏領域から浮遊拡散FD内に画像電荷を移送する。続いて、ソースフォロワトランジスタSFがオンにされ、読み出し動作のために、浮遊拡散FDの信号を増幅し、行選択トランジスタRSがオンにされ、画像データPixоutを選択的に出力する。
いくつかの実施形態において、図3に示されるとおり、図1及び図2に描かれているイメージセンサ10の1つの画素11の或る特徴の配置について、説明のために強調して示す。例えば、簡単な図示のため、感光素子PD、記憶素子SD、及び駆動回路DCの位置決め箇所(又は領域)が図3に示されている。例えば、図3に示されるとおり、感光素子PDは、感光領域11A内に位置決めされ、記憶素子SDは、記憶素子領域11B内に位置決めされ、駆動回路DCは、回路領域11C内に位置決めされる。いくつかの実施形態において、記憶素子SD及び駆動回路DCは、Y方向に沿って互いに隣に配置され、記憶素子SDと駆動回路DCとの電気的連結を促進し、記憶素子SD及び駆動回路DCは、X方向に沿って感光素子PDの隣に配置され、記憶素子SDと感光素子PDとの電気的連結を促進するが、ここでX方向は、Y方向とは異なる。X方向は、Y方向に直交してもよい。しかしながら、本開示は、これに限定されるものでない。画像電荷の移送中、画像電荷の減損が許容可能な範囲でこれら上述の電気的連結が達成可能である限り、他の好適な配置が適合されてもよい。例えば、感光素子PD、記憶素子SD、及び駆動回路DCの部品は、明確な境界ではっきりとした位置決め箇所(又は領域)を伴うことなく、半導体基板上、及び/又は、半導体基板内に形成可能である。
図4~図24は、本開示のいくつかの実施形態に係る、半導体構造(例えば、(半導体)イメージセンサ装置1000a)に含まれるイメージセンサを製造する方法を示す、垂直及び水平模式図であり、図4、図6、図7、図9、図11、図13、図15、図17、図19、図21、図23、及び図24は、図5、図8、図10、図12、図14、図16、図18、図20、及び図22に描かれたA-A線及びB-B線に沿った横断面図である。実施形態において、製造方法は、ウェハレベルプロセスの一部である。なお、本明細書に記載のプロセスステップは、導電格子を有する隔離構造を備えたイメージセンサを含む半導体構造の製造に使用される製造プロセスの一部を網羅するものである。このような半導体構造は、(半導体)イメージセンサダイ、又はチップ、又は(半導体)イメージセンサ装置と称されることもある。実施形態は、さらなる説明を提供することが意図されてものであり、本開示の範囲を限定するために使用されるものでない。例えば、いくつかの工程が異なる順に発生してもよく、及び/又は、図示、及び/又は、本明細書に記載のものとは別の他の工程又はイベントと同時に発生してもよい。さらに、すべては示されていないが、本明細書に記載の1つ以上の態様又は実施形態を実施するのに、すべてが図示されない工程が要求されてもよく、本明細書中に描かれた工程のうちの1つ以上が、1つ以上の別の工程、及び/又は、フェーズにおいて実施されてもよい。いくつかの実施形態において、(半導体)イメージセンサ装置1000aは、BSIイメージセンサ装置であり、入射光(図24中では「L」で示される)の放射が半導体基板100の背面側に向かって、感光素子PD内に投射される。
図4及び図5をともに参照すると、いくつかの実施形態において、初期集積回路構造ICSが提供され、初期集積回路構造ICSは、半導体基板100aと、素子領域(図示せず)と、相互接続120と、を備える。いくつかの実施形態において、初期集積回路構造ICSは、アクティブ領域AR1(例えば、図2及び図3中の画素11の箇所)と、周辺領域PR(例えば、図1の回路の箇所)と、を備える。初期集積回路構造ICSは、必要に応じて、イメージセンサ装置1000aの他の部品を収容するために、他の領域を備えてもよい。例えば、図5に示されるとおり、周辺領域PRは、アクティブ領域の一方側に配置される。しかしながら、本開示はこれに限定されるものでなく、周辺領域PRは、アクティブ領域ARの一方側、一を超える数の側、又はすべての側に配置されてもよい。
図4において、半導体基板100aは、例えば、ホウ素等、p型ドーパントでドープされたシリコン基板であるため、p型基板である。或いは、半導体基板100aは、他の好適な半導体材料であり得る。例えば、半導体基板100aは、リン又は砒素等、n型ドーパントでドープされたシリコン基板であってもよく、n型基板である。半導体基板100aは、設計要件(例えば、p型ウェル又はn型ウェル)に応じて、種々のドープ領域を備えてもよい。いくつかの実施形態において、ドープ領域は、ホウ素又はBF等のp型ドーパント、及び/又は、リン又は砒素等、n型ドーパントでドープされる。さらに、ドープ領域は、Pウェル構造、Nウェル構造、デュアルウェル構造において、又は上昇構造を使用して、半導体基板100a上に直接形成されてもよい。他の実施形態において、半導体基板100aは、ダイアモンド又はゲルマニウム等、他の何らかの好適な元素半導体、砒化ガリウム、炭化シリコン、砒化インジウム、リン化インジウム等、好適な化合物半導体、又は炭化シリコンゲルマニウム、砒化リン化ガリウム、又はリン化ガリウムインジウム等、好適な合金半導体で作成されてもよい。さらに、半導体基板100aは、エピタキシャル層(エピ層)を備えることができ、性能向上のために歪められてもよい。或いは、半導体基板100aは、シリコン・オン・インシュレータ(SOI)又はシリコン・オン・サファイア等、絶縁体上の半導体であってもよい。
例えば、図4に示されるとおり、半導体基板100aは、Z方向に沿って、上面S100tと、上面S100tの反対の底面S100bと、を有してもよい。Z方向は、X-Y面、例えば、X方向及びY方向に直交してもよい。いくつかの実施形態において、半導体基板100aの厚さT100aは、おおよそ500μm~900μmの範囲である。
いくつかの実施形態において、半導体基板100aはまた、アクティブ領域AR内の複数の第1の隔離体(図示せず)と、周辺領域PR内の複数の第2の隔離体110と、を備え、これらは、感光素子PD、記憶素子SD、駆動回路DC中のトランジスタ(RST、SF、RS、TG1、及び/又は、TG2等)、及び/又は、回路(例えば、12、21、22、23)の部品等、異なる素子を隔離するように形成される。第1の隔離体及び第2の隔離体110は、各々、シリコン局所酸化(LOCOS)又はシャロートレンチ隔離(STI)等の隔離技術を利用して、種々の領域を電気的に隔離してもよい。第1の隔離体と第2の隔離体110とが、STIで作成された場合、STIには、酸化シリコン、窒化シリコン、酸窒化シリコン、その他の好適な材料、又はこれらの組み合わせが含まれてもよい。いくつかの実施形態において、埋められたトレンチは、窒化シリコン又は酸化シリコンで埋められた熱酸化物ライナー層等、多層構造を有する。一実施形態において、第1の隔離体は、第2の隔離体110と同一である。他の実施形態において、第1の隔離体は、第2の隔離体110とは異なる。例えば、図4に示されるとおり、第2の隔離体110は、半導体基板100a内に埋め込まれたSTIであり、第2の隔離体110の各々の上面S110tは、半導体基板100aの上面S100tと略同一面にあり、第2の隔離体110の各々の底面S110bは、半導体基板100aの底面S100bによって被覆される(例えば、アクセス可能に開かれない)。
図4に戻ると、いくつかの実施形態において、第1のドープ領域102a及び第2のドープ領域104aは、半導体基板100a内において、アクティブ領域AR内部に形成されて、フォトダイオード106を形成する。例えば、1つのフォトダイオード106、及び当該フォトダイオード106(例えば、第1のドープ領域102a)とそれを包囲する半導体基板100a/100との間の界面領域とが、ともに、1つの感光素子PDを構成する。なお、本開示に示されるフォトダイオード106の構成は、単に感光素子PDの例示として機能するものであり、本開示はこれに限定されるものでない。或いは、感光素子PDは、ドープ領域102a(半導体基板100aの上面S100tに近接して配置されている)、及びフォトダイオード106とそれを包囲する半導体基板100aとの間の界面領域のみを備えるフォトダイオードであってもよい。図4に示されるとおり、フォトダイオード106は、Z方向に沿って、図示の上面(ラベル無し)と、その反対の図示の底面(ラベル無し)とを有し、例えば、図示の上面は、半導体基板100aの上面S110tと略同一面にあり、図示の底面は、半導体基板100aの底面S110bに被覆される。他の実施形態において、フォトダイオード106の図示の上面は、半導体基板100aの上面S110tに近接しているが、これと同一面上にない。
第1のドープ領域102aは、第1の種別のドーパントで半導体基板100aをドープすることによって形成されてもよく、第2のドープ領域104aは、第2の種別のドーパントにより、第1のドープ領域102aの上方の半導体基板100aをドープすることによって形成されてもよい。いくつかの実施形態において、第1の種別のドーパントは、第2の種別のドーパントとは異なる。例えば、半導体基板100aがp型基板であるとき、第1のドープ領域102aは、n型ドーパント(リン又は砒素等)でドープされてもよく、第2のドープ領域104aは、p型ドーパント(ホウ素又はBF2等)でドープされてもよく、第1のドープ領域102aと第2のドープ領域104aとの間にP-N接合を形成する。すなわち、半導体基板100a及び第2のドープ領域104aは、第1のドープ領域102aの導電型(例えば、第1の種別)とは異なる、同一の導電型(例えば、第2の種別)を有する。
或いは、半導体基板100aがn型基板であるとき、第1のドープ領域102aは、p型ドーパントでドープされてもよく、第2のドープ領域104aは、n型ドーパントでドープされてもよく、両者間にP-N接合を形成する。いくつかの実施形態において、ドーパントは、イオン注入プロセスにより、第1のドープ領域102aと第2のドープ領域104aとにドープされてもよい。
上述のとおり、記憶素子SDの第1のドープ領域102bと第2のドープ領域104bとは、第1のドープ領域102a及び第2のドープ領域104aと同様に形成されてもよい。したがって、図示はしないが、記憶素子SDは、半導体基板100a内に配置されると理解されなければならない。
いくつかの実施形態において、素子領域は、半導体基板100aの上面S100tに沿って配置され、半導体基板100a内に向かって延設される。素子領域には、複数の素子(例えば、各画素11に対応する感光素子PD(フォトダイオード106を含む)、記憶素子SD(第1のドープ領域102b及び第2のドープ領域104bを含む)、駆動回路DC(トランジスタRST、SF、RS、TG1、又はTG2を含む)と、画素11を作動するためのアクセス回路12に対応する論理素子(トランジスタSHG等)と、感光素子PDの読み出しのための読み出し回路部品21、信号処理回路部品22、及び出力回路部品23に対応する能動素子及び受動素子等)が含まれる。感光素子PDは、半導体基板100a内において、列及び行に配置され、フォトダイオード106に入射したフォトンから電荷を蓄積するように構成される。さらに、フォトダイオード106は、半導体基板100a内の第1の隔離体(図示せず。STI又はLOCOS等。)によって互いから光学的に隔離されることで、近隣の画素11間のクロスストークを低減する。
いくつかの実施形態において、素子領域は、フロント・エンド・オブ・ライン(FEOL)プロセスで形成される。素子領域内の素子には、集積回路素子が含まれる。これらの素子は、例えば、トランジスタ、キャパシタ、レジスタ、ダイオード、フォトダイオード、ヒューズ素子、又はその他類似の素子である。一実施形態において、素子領域には、ゲート構造と、ソース及びドレイン領域とが含まれる。素子領域には、トランジスタ又はメモリ等、種々のN型金属酸化膜半導体(NMOS)、及び/又は、P型金属酸化膜半導体(PMOS)素子が形成及び相互接続され、1つ以上の機能を実施してもよい。これらの素子の機能には、メモリ、プロセッサ、センサ、増幅器、電力供給、入出力回路等が含まれてもよい。
図4を続けて参照すると、いくつかの実施形態において、相互接続120は、半導体基板100aの上面S100tに沿って、半導体基板100a上に形成される。いくつかの実施形態において、相互接続120は、バック-エンド-オブ-ライン(BEOL)プロセスで形成される。相互接続120は、素子領域の頂上面であり、素子領域にルーティング機能を提供するために、素子領域の素子に電気的に接続される。いくつかの実施形態において、相互接続120、少なくとも1つのパターン誘電層と、半導体基板100a上、及び/又は、半導体基板100a内に形成された素子領域の種々のドープされた特徴、回路、及び入出力間に相互接続(例えば、書き込み)を提供する少なくとも1つの導電層と、を備える。相互接続120は、例えば、素子領域の再分配回路構造又は相互接続構造として考慮される。
例えば、相互接続120は、多層相互接続(MLI)構造を備え、MLI構造は、誘電層(又は、多数の誘電層を備えた誘電構造)122と、複数の導電ライン124と、複数のビア/コンタクト126と、を備える。説明のため、図4に示された誘電層122、導電ライン124、及びビア/コンタクト126は、単なる例示であり、誘電層122、導電ライン124、及びビア/コンタクト126の実際の位置決め、層数、及び構成は、設計の要求と製造上の懸念に応じて変更されてもよい。
誘電層122は、ポリイミド、ポリベンゾキサゾール(PBO)、ベンゾシクロブテン(BCB)、窒化シリコン等の窒化物、酸化シリコン等の酸化物、リンケイ酸ガラス(PSG)、ホウケイ酸ガラス(BSG)、ホウ素ドープリンケイ酸ガラス(BPSG)、これらの組み合わせ等であってもよく、フォトリソグラフィ、及び/又は、エッチングプロセスを使用してパターニングされてもよい。いくつかの実施形態において、誘電層122は、スピン-オンコーティング、化学気相蒸着(CVD)、プラズマ化学気相蒸着(PECVD)等、好適な製造技術で形成される。
導電ライン124及びビア/コンタクト126は、銅、銅合金、アルミニウム、アルミニウム合金、又はこれらの組み合わせ等、電気メッキ又は蒸着によって形成された導電材料によって作成されてもよく、フォトリソグラフィ及びエッチングプロセスを使用してパターニングされてもよい。いくつかの実施形態において、導電ライン124は、金属ライン、金属パッド、金属トレース等であってもよい。例えば、ビア/コンタクト126は、金属ビア等であってもよい。例えば、導電ライン124及びビア/コンタクト126は、パターニングされた銅の層/ビアである。いくつかの実施形態において、導電ライン124及びビア/コンタクト126は、デュアルダマシンプロセスによって形成される。すなわち、導電ライン124及びビア/コンタクト126は、同時に形成されてもよい。説明全体を通じて、「銅」という用語は、実質的に純粋な元素としての銅、不可避の不純物を含有した銅、及びタンタル、インジウム、錫、亜鉛、マンガン、クロム、チタン、ゲルマニウム、ストロンチウム、白金、マグネシウム、アルミニウム、又はジルコニウム等、少量の元素を含有した銅合金が含まれることが意図される。
いくつかの実施形態において、導電ライン124及びビア/コンタクト126は、ともに、金属化層と称される。相互接続120は、BEOL金属化積層と称されてもよい。例えば、図4に示されるとおり、相互接続120の金属化層のうち、最上層(例えば、124)が、誘電層122の上面S122tによって露出される。換言すると、相互接続120の金属化層のうち、最上層(例えば、124)の上面S124tは、誘電層122の上面S122tと略同一面にある。いくつかの実施形態において、図4に示されるとおり、誘電層122の上面S122tと、誘電層122の上面S122tによって露出された上面S124tとは、ともに、相互接続120の上面S120tと称される。
いくつかの実施形態において、素子領域を形成した後、相互接続120を形成するのに先立って、エッチング停止層(図示せず)が、素子領域の上方に、その素子を被覆するように、共形に形成され、高い程度の平面性及び平坦性を備えた最上面を得るまで、層間誘電(ILD)層(図示せず)が、エッチング停止層の上方に形成されるが、これは、後に形成される層/要素(例えば、相互接続120)にとって有利となる。例えば、相互接続120の金属層のうち、最下層(例えば、126)は、ILD層と、エッチング停止層とを貫通して、素子領域の装置に電気的に接続される。いくつかの実施形態において、エッチング停止層は、素子領域と相互接続120との間に電気的接続を成立させている間、素子領域に保護を与える。エッチング停止層は、コンタクトエッチング停止層(CESL)と称されてもよい。
エッチング停止層には、窒化シリコン、炭素ドープ窒化シリコン、又はこれらの組み合わせが含まれてもよく、これらは、CVD(例えば、高密度プラズマCVD(HDCVD)、準常圧CVD(SACVD))、分子層蒸着(MLD)、又はその他の好適な方法等のプロセスを使用して蒸着されてもよい。いくつかの実施形態において、エッチング停止層が形成されるのに先立って、半導体基板100aの上方、且つ、素子領域上に、バッファ層(図示せず)がさらに形成される。一実施形態において、バッファ層は、酸化シリコン等の酸化物であるが、本開示は、これに限定されるものでなく、他の組成物が利用されてもよい。いくつかの実施形態において、バッファ層は、CVD(例えば、HDPCVD、SACVD)、MLD、又はその他の好適な方法等のプロセスによって蒸着される。
ILD層には、酸化シリコン、窒化シリコン、酸窒化シリコン、炭窒化シリコン、酸窒化炭化シリコン、スピン-オンガラス(SOG)、PSG、BPSG、FSG、炭素ドープ酸化シリコン(例えば、SiOC(-H)、ポリイミド、及び/又は、これらの組み合わせが含まれてもよい。いくつかの他の実施形態において、ILD層には、低誘電率材料が含まれてもよい。低誘電率材料の例として、キセロゲル、エアロゲル、アモルファスフッ素化炭素、パリレン、BCB、水素シルセスキオキサン(HSQ)、フッ素化酸化シリコン(SiOF)、及び/又は、これらの組み合わせが挙げられる。ILD層には、1つ以上の誘電材料が含まれてよいことが理解される。いくつかの実施形態において、ILD層は、CVD(例えば、流動化学気相蒸着(FCVD)、HDPCVD、SACVD)、スピン-オンコーティング、スパッタリング、又はその他の好適な方法により、好適な厚さに形成される。
図6を参照すると、いくつかの実施形態において、初期集積回路構造ICSは、剥離層52によってキャリア50上に載置される。例えば、相互接続120の上面S120tは、剥離層52と接触し、剥離層52は、キャリア50と初期集積回路構造ICSとの間に配置される。キャリア50の材料には、ガラス、金属、セラミック、シリコン、プラスチック、これらの組み合わせ、これらの多層、又は、次の処理で初期集積回路構造ICSに構造的支持を与え得る、その他の好適な材料が含まれてもよい。いくつかの実施形態において、キャリア50は、ガラスで作成され、剥離層52が、初期集積回路構造ICSをキャリア50に接着するのに使用される。剥離層52の材料は、キャリア50を以上の層(例えば、相互接続120)、又はその上に配置される任意のウェハ(例えば、初期集積回路構造ICS)に対して接着したり、剥離するのに好適な任意の材料であってもよい。いくつかの実施形態において、剥離層52には、離型層(光-熱変換(「LTHC」層)又は接着層(紫外線硬化接着剤または熱硬化接着剤等)が含まれてもよい。他の好適な一時的接着剤が剥離層52に使用されてもよい。
その後、いくつかの実施形態において、平坦化プロセスが、半導体基板100aの底面S100bに施され、(薄型)半導体基板100を形成する。いくつかの実施形態において、半導体基板100の厚さT100は、おおよそ1.5μm~21μmの範囲である。薄型半導体基板100の厚さT100は、例えば、半導体基板100aの厚さT100a未満である。換言すると、平坦化処理は、所望の厚さを有する薄型半導体基板100が達成されるまで、半導体基板100aの底面S100bに適用される。このような薄型半導体基板100は、フォトダイオード106の底面と、半導体基板100の底面S100bとの間のギャップ(又は距離)を低減し、これにより、光が、半導体基板100を通過できるようにし、半導体基板100に吸収されることなく、半導体基板100に埋め込まれた感光素子PDのフォトダイオード106にヒットできるようする。例えば、図6に示されるとおり、感光素子PD、第1の隔離体、第2の隔離体110は、半導体基板100の底面S100bにより、アクセス可能に開放されていない。
いくつかの実施形態において、平坦化プロセスには、研削プロセス、化学-機械研磨(CMP)プロセス、エッチングプロセス、又はこれらの組み合わせが含まれてもよい。エッチングプロセスには、異方性エッチング、又は等方性エッチングが含まれてもよい。平坦化の後、洗浄プロセスが任意で実施されてもよく、例えば、平坦化プロセスで生成された残余物を洗浄及び除去してもよい。しかしながら、本開示は、これに限定されるものでなく、平坦化プロセスは、その他任意の好適な方法で実施されてもよい。
図7及び図8を参照すると、いくつかの実施形態において、パターニングプロセスPE1を実施して、半導体基板100内に複数のトレンチOP1を形成する。トレンチOP1には、部分的に深いトレンチ(PDT)が含まれてもよい。例えば、図8に示されるとおり、トレンチOP1は、アクティブ領域AR内部に配置され、トレンチOP1は、各画素11の感光素子PDのフォトダイオード106を包囲する。換言すると、トレンチOP1は、互いに空間的に接続され、感光素子PD周辺に連続的に延設される。例えば図6及び図7に示されるとおり、感光素子PDは、トレンチOP1に限定された複数の領域166内に位置決めされる。いくつかの実施形態において、図8に示されるとおり、Z方向に沿った半導体基板100上への垂直投射において、トレンチOP1は、感光素子PDとは重ならない。例えば、トレンチOP1は、連続トレンチであってもよく、格子形状(例えば、格子メッシュの形態)として構成されてもよい。すなわち、トレンチOP1は、ともに、半導体基板100に形成された格子(メッシュ)空洞と称されてもよい。いくつかの実施形態において、トレンチOP1の少なくとも一部はさらに、図8に示されるとおり、周辺領域PRに延設される。例えば、トレンチOP1の高さT1は、おおよそ0.3μm~20μmの範囲である。一実施形態において、トレンチOP1の高さT1は、半導体基板100の厚さT100未満であり、トレンチOP1の下の半導体基板100の一部は、記憶素子SD及び駆動回路DC等、他の装置がトレンチOP1の下方に形成できるように、十分な厚さを有する。例えば、トレンチOP1の幅D1は、おおよそ0.01μm~5μmの範囲であり、この幅D1は、図7及び図8に示されるとおり、トレンチOP1の延設方向に直交する方向に沿って測定される。
しかしながら、本開示は、これに限定されるものでなく、代替として、トレンチOP1の高さT1は、半導体基板100の厚さT100と略同等であってもよく、トレンチOP1は、記憶素子SD及び駆動回路DCと重ならない。トレンチOP1の高さT1が半導体基板100の厚さT100と略同等である実施形態において、感光素子PD、トレンチOPは、完全に深いトレンチ(FDT)を備え、記憶素子SD及び駆動回路DCは、トレンチOP1の隣に配置される。
パターニングプロセスPE1には、フォトリソグラフィ及びエッチングプロセスが含まれてもよい。例えば、パターンマスク層(図示せず)は、半導体基板100の底面S100b上に形成される。パターンマスク層には、フォトレジスト、及び/又は、1つ以上の硬質マスク層が含まれてもよい。パターンマスク層は、感光素子PDを有さない半導体基板100の一部を露出し、感光素子PDを有する半導体基板100の一部を被覆する開口(図示せず)を有する。その後、エッチングマスクとしてパターンマスク層を使用したエッチングプロセスを実施して、パターンマスク層によって露出された半導体基板100の少なくとも一部を除去し、トレンチOP1が形成されるようにする。例示の目的で、図8に示されたトレンチOP1の数は、本開示を限定するものでなく、要求及びレイアウト設計(例えば、画素11の位置)に基づき、設計及び選択されてもよい。
図9及び図10を参照すると、いくつかの実施形態において、誘電層150、誘電層152、誘電層154、及び導電材料160mは、底面S100bに沿って、半導体基板100の上方に形成される。いくつかの実施形態において、誘電層150は、半導体基板100上に形成され、トレンチOP1内に延設される。誘電層150は、半導体基板100の底面S100bの上方に共形に形成され、さらにトレンチOP1の側壁(ラベル無し)と底面(ラベル無し)とを被覆する。誘電層150は、(トレンチOP1の)誘電ライナとも称されてよい。誘電層150には、酸化シリコン、窒化シリコン、酸窒化シリコン、又は高誘電率材料等、好適な誘電材料が含まれてもよい。なお、高誘電率材料には、約4超、さらには約10超の誘電率を有する誘電材料が含まれてもよい。高誘電率材料には、金属酸化膜が含まれてもよい。高誘電率材料に使用される金属酸化膜の例として、Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Hо、Er、Tm、Yb、Lu、Ta、及び/又は、これらの組み合わせが挙げられる。例えば、誘電層150には、例えば、酸化アルミニウム(AlO)、酸化ハフニウム(HfO)、ハフニウム酸化シリコン(HfSiO)、ハフニウム酸化アルミニウム(HfAlO)、又は、ハフニウム酸化タンタル(HfTaO)等が含まれる。いくつかの実施形態において、誘電層150の厚さT150は、おおよそ5Å(オングストローム)~1000Åの範囲である。誘電層150は、原子層蒸着(ALD)等、良好なギャップ埋め能力を有する好適なプロセスを使用して形成されてもよい。ここでは、層が共形である、又は共形に形成されると記載されるとき、この層が、層の形成される領域に沿って、略同等の厚さで延設されることを示す。
一実施形態において、誘電層150は、単層構造を備える。他の実施形態において、誘電層150は、2つ以上の異なる材料の多層構造を備える。さらに他の実施形態において、誘電層150は、同一材料の多層構造を備える。本開示は、これに限定されるものでない。
いくつかの実施形態において、その後、誘電層152は、半導体基板100の底面S100bに配置された誘電層150上に形成される。図9に示されるとおり、誘電層152は、例えば、トレンチOP1内に向かって延設されない。換言すると、いくつかの実施形態において、誘電層152は、トレンチOP1に対応する(例えば、露出する)複数の孔(ラベル無し)を備えたパターン誘電層である。誘電層152には、上述のとおり、酸化シリコン、窒化シリコン、酸窒化シリコン、又は高誘電率材料等、好適な誘電材料が含まれてもよい。誘電層152は、単層構造、又は多層構造を備えてもよい。いくつかの実施形態において、誘電層152は、第1の誘電層と、第1の誘電層上の第2の誘電層と、を備えてもよい。第1の誘電層には、酸化物(酸化シリコン等)が含まれてもよく、第2の誘電層には、窒化物(窒化シリコン等)が含まれてもよい。いくつかの実施形態において、誘電層152の厚さT152は、おおよそ50Å~6000Åの範囲である。誘電層152は、ギャップ埋め能力の乏しい好適なプロセスを使用して形成されてもよく、誘電層152がトレンチOP1内部に形成されないようにする。蒸着プロセスには、CVD(PECVD等)、物理気相蒸着(PVD)、熱酸化、UVオゾン酸化、又はこれらの組み合わせが含まれてもよい。トレンチOP1の頂上部開口は、誘電層152によって被覆されてもよく、被覆されなくてもよい。トレンチOP1の頂上部開口が誘電層152で被覆されることを考慮した場合、エッチングプロセスはさらに、その中に形成されたトレンチOP1及び誘電層150をアクセス可能に開放するよう適合される。エッチングプロセスは、異方性エッチングであってもよい。一実施形態において、誘電層150の材料は、誘電層152の材料と異なり、誘電層150と152との間に界面が存在する。或いは、誘電層150の材料は、誘電層152の材料と同一であってもよく、誘電層150と152との間にははっきりした界面が存在しない。
その後、誘電層154は、誘電層152及び誘電層150の上に形成され、トレンチOP1内に向かって延設される。誘電層154は、半導体基板100の底面S100bの上方に共形に形成され、さらに誘電層152及び150を被覆する。誘電層154は、(トレンチOP1の)誘電ライナとも称されてよい。誘電層154は、酸化シリコン、窒化シリコン、又は酸窒化シリコン等、好適な誘電材料を含んでもよい。いくつかの実施形態において、誘電層154の厚さT154は、おおよそ50Å~5000Åの範囲である。誘電層154は、原子層蒸着ALD等、良好なギャップ埋め能力を有する好適なプロセスを使用して形成されてもよい。図9に示されるとおり、誘電層150の厚さT150及び誘電層154の厚さT154は、例えば、誘電層152の厚さT152未満である。
いくつかの実施形態において、誘電層154を形成した後、導電材料160mは、半導体基板100の上方に形成され、誘電層154の上面S154を被覆し、トレンチOP1及び誘電層152の孔を埋める。いくつかの実施形態において、導電材料160mの材料には、金属、及び/又は、金属合金等、好適な導電材料が含まれる。例えば、導電材料160mは、アルミニウム(Al)、アルミニウム合金、タングステン(W)、銅(Cu)、銅合金、又はこれらの組み合わせ(例えば、AlCu)等であり得る。ある実施形態において、導電材料160mの材料には、約400nm~約5μmの波長範囲において、80%以上、95%以上、又は99%以上の反射率を有する好適な導電材料が含まれる。換言すると、導電材料160mの材料は、約400nm~約5μmの範囲の波長を有する入射光の量の80%以上、95%以上、又は99%以上を反射することができる。例えば、図9に示されるとおり、導電材料160mは、Alである。いくつかの実施形態において、導電材料160mの形成には、CVD、PVD等の蒸着プロセス、めっきプロセス、又はこれらの組み合わせ等が含まれてもよい。
図11及び図12を参照すると、いくつかの実施形態において、平坦化プロセスを、導電材料160mに施して、トレンチOP1内部に導電特徴160を形成する。本開示において、例えば、導電特徴160は、(トレンチOP1によって構成される)格子メッシュ空洞の内部に形成される導電格子(又は、金属格子、金属化格子)160と称される。例えば、図11に示されるとおり、導電格子160の上面S160は、誘電層154の上面S154と略同一面にあり、これと平準化される。例えば、トレンチOP1内の導電格子160、誘電層150(誘電ライナとして機能する)と、トレンチOP1内の誘電層154(誘電ライナとして機能する)は、本開示において、格子メッシュ形態の隔離構造GSと称される。いくつかの実施形態において、トレンチOP1内に配置された誘電層150の一部と誘電層154の一部とは、ともに、隔離構造GSの誘電構造DI1と称する。このような隔離構造GSを有する効果的な特徴のうちの1つとして、バイアス(例えば、図24内の負のバイアスNb)が導電格子160に付与され、隔離構造GSの側壁に沿って正孔蓄積を生成し、電子が付近の隔離構造GSに捕捉されることを防ぎ、漏洩電流と、イメージセンサ10内の隣接する画素11間のクロストークを低減するようにする。したがって、イメージセンサ10の性能が向上される。図11及び図12に示されるとおり、アクティブ領域AR内の隔離構造GSは、画素11の駆動回路DC及び記憶素子SDと、領域166内に位置決めされた感光素子PDの近傍とを被覆する。領域166は、感光素子PDを包囲し、これを露出する隔離構造GSの開口166と称されてもよい。トレンチOP1がFDTである他の実施形態において、アクティブ領域AR内部の隔離構造GSが、駆動回路DC、記憶素子SD、及び感光素子PDの隣にある。
平坦化プロセスには、研削プロセス、CMPプロセス、エッチングプロセス等、又はこれらの組み合わせが含まれてもよい。平坦化プロセス中、誘電層154も平坦化されてもよい。平坦化の後、洗浄プロセスが任意で実施されてもよく、例えば、平坦化プロセスで生じた残余物を洗浄及び除去してもよい。しかしながら、本開示は、これに限定されるものでなく、平坦化プロセスは、その他任意の好適な方法を通じて実施されてもよい。
図13及び図14を参照すると、いくつかの実施形態において、パターニングプロセスPE2を実施して、半導体基板100内に複数の開口OP2を形成する。例えば、開口OP2は、周辺領域PR内部に配置され、開口OP2は、少なくとも導電格子160の側に形成され、互いに分離されている。換言すると、開口OP2は、導電格子160から離間している。代替として、開口OP2は、導電格子160の2つの側方、又は2超の側方に形成されてもよいが、本開示は、これに限定されるものでない。いくつかの実施形態において、方向Zに沿った半導体基板100の垂直投影において、開口OP2は、第2の隔離体110に対応する(例えば、これに重なる)。例えば、開口OP2はさらに、第2の隔離体110の一部内に向かって延設され、第2の隔離体110の表面S110をアクセス可能に開放する。開口OP2の平面図(例えば、X-Y面)を考慮すると、開口OP2の形状は、円形状を備えてもよい。しかしながら、本開示は、これに限定されるものでなく、他の実施形態において、平面図における開口OP2の形状は、例えば、矩形、楕円形、長円形、正方形、八角形、又は任意の好適な多角形である。
いくつかの実施形態において、開口OP2の高さは、半導体基板100の厚さT100未満である。いくつかの実施形態において、開口OP2の幅D2は、おおよそ0.1μm~15μmの範囲であり、この幅D2は、図13に示されるとおり、開口OP2の延長方向に直交する方向に沿って測定される。パターニングプロセスPE2は、異なるパターンマスク層を使用する以外、図7及び8に示されるパターニングプロセスPE1と同じ又は同一であってもよく、したがって、ここでは簡潔さのために繰り返さない。例示の目的で、図14に示される開口OP2の数は、本開示に限定されるものでなく、要求及びレイアウト設計に基づいて、指定及び選択されてもよい。
図15及び図16を参照すると、いくつかの実施形態において、誘電層156は、誘電層154と導電格子160との上に形成され、さらに開口OP2内に向かって延設される。誘電層156は、半導体基板100の底面S100bの上方に共形に形成され、開口OP2の側壁(ラベル無し)及び底面(ラベル無し)、誘電層154の上面S154、及び導電格子160の上面S160を被覆する。誘電層156は、(開口OP2の)誘電ライナとも称されてよい。誘電層156には、酸化シリコン、窒化シリコン、又は酸窒化シリコン等、好適な誘電材料が含まれてもよい。いくつかの実施形態において、誘電層156の厚さT156は、おおよそ50Å~5000Åの範囲である。誘電層156は、原子層蒸着ALD等、良好なギャップ埋め能力を有する好適なプロセスを使用して形成されてもよい。図15に示されるとおり、誘電層156の厚さT156は、例えば、誘電層152の厚さT152未満である。
図17及び図18を参照すると、いくつかの実施形態において、パターニングプロセスPE3を実施して、周辺領域PR内部に、複数の開口OP3と複数の開口OP4とを形成する。パターニングプロセスPE3は、異なるパターンマスク層を使用する以外、図7及び図8に示すパターニングプロセスPE1と同じ又は同一であってもよく、したがって、ここでは簡潔さのために繰り返さない。
いくつかの実施形態において、開口OP3は、誘電層156を貫通するように形成され、周辺領域PR内の導電格子160の一部をアクセス可能に開放するようにする。すなわち、例えば、図18に示されるとおり、Z方向に沿った半導体基板100の垂直投影において、開口OP3は、導電格子160に重なり、導電格子160の上面S160を露出する。例えば、開口OP3の幅D3は、おおよそ0.01μm~5μmの範囲である。代替として、開口OP3は、誘電層154内に向かって延設されなくてもよい。いくつかの実施形態において、開口OP3の幅D3は、図18に示されるとおり、トレンチOP1の幅D3と略同等である。或いは、導電格子160と後に形成する部品(例えば、図19の170及び/又は174)との間の電気的接続が適正に確立される限り、開口OP3の幅D3は、トレンチOP1の幅D1より広くてもよく、又は、開口OP3の幅D3は、トレンチOP1の幅D1未満であってもよい。
一方、開口OP4は、(開口OP2の底面に重なる)誘電層156と第2の絶縁体110の残りの部分とを貫通するように、開口OP2内に形成され、相互接続120の金属化層のうちの一層(例えば、上面S120tから最も離間している導電ライン124)を露出するようにする。換言すると、導電ライン124の表面S124は、開口OP4によってアクセス可能に開放される。開口OP2のうちの1つが、開口OP4の1つずつに空間的に連通する。例えば、開口OP4の幅D4は、おおよそ0.08μm~14.8μmの範囲であり、この幅D4は、図17に示されるとおり、開口OP4の延長方向の直交方向に沿って測定される。
開口OP3及びOP4の平面図(例えば、X-Y面)を考慮すると、開口OP3の形状には、矩形状が含まれてもよく、開口OP4の形状には、円形状が含まれてもよい。しかしながら、本開示は、これに限定されるものでなく、他の実施形態において、平面図における開口OP3及びOP4の形状は、要求及びレイアウト設計に基づき、例えば、円形、矩形、楕円形、長円形、正方形、八角形、又は任意の好適な多角形状である。例示の目的で、図17に示される開口OP3及びOP4の数は、本開示に限定されるものれなく、要求及びレイアウト設計に基づき、指定及び選択されてもよい。例えば、開口OP3及びOP4の数は、独立して、1つであってもよく、1超であってもよい。
図19及び図20を参照すると、いくつかの実施形態において、複数の導電特徴162と、複数の導電特徴170と、複数の導電特徴174とを含む導電特徴が、周辺領域PR内の誘電層156上に形成される。いくつかの実施形態において、導電特徴162は、導電特徴174を通じて、導電特徴170に電気的に接続され、導電特徴162、170、及び174は、一体的に形成される。
いくつかの実施形態において、導電特徴162は、導電格子160に接触するように、開口OP3内に形成され、導電特徴162が導電格子160に電気的に接続されるようにする。例えば、図19に示されるとおり、導電特徴162は、開口OP3に埋められる。例えば、導電特徴162の図示の上面(ラベル無し)は、誘電層156の上面S156と略同一面の表面と考えられ、導電特徴162の図示の底面(ラベル無し)は、導電格子160の上面S160と略同一の表面と考えられる。しかしながら、本開示は、これに限定されるものでなく、代替として、導電特徴162は、開口OP3の導電ライナの形態で形成されてもよい。
いくつかの実施形態において、導電特徴170は、開口OP2及び開口OP4内に形成されて、相互接続120の金属化層のうち、露出した層と接触して、導電特徴170が相互接続120と電気的に接続されるようにする。例えば、図19に示されるとおり、導電特徴170は、開口OP2の側壁に配置された誘電層156の内側壁S156iを被覆する導電ライナの形態で形成され、さらに、開口OP4の内部に向かって延設されて、開口OP4の側壁(ラベル無し)及び底面(ラベル無し)を被覆する。例えば、導電特徴170の図示の上面(ラベル無し)は、誘電層156の上面S156と略同一面の表面と考えられる。いくつかの実施形態において、導電特徴170は、各々、開口OP2内に第1の部分170aと、開口OP4内に第2の部分170bと、を備える。例えば、図19に示されるとおり、導電特徴170は、第2の部分170bと、相互接続120の金属化層のうちの露出層とを物理的及び電気的に接続することにより、相互接続120と電気的に接続され、導電特徴170は、第1の部分170aと導電特徴174とを物理的及び電気的に接続することにより、導電特徴174に電気的に接続される。導電特徴170は、導電構造170と称されてもよく、各第1の部分170aは、導電体と称されてもよく、各第2の部分170bは、導電体の導電ビアと称されてもよい。図19に示されるとおり、導電構造170は、各々、ステップ形態の輪郭(又は外形)を有し、横断面図における導電構造170の内側壁S170i及び外側壁S170оは、各々、例えば、曲線(例えば、直線ではない)である。
いくつかの実施形態において、導電特徴174は、導電特徴170及び導電特徴162と接触するように、誘電層156の上面S156上に形成され、導電特徴174が導電特徴162及び170と電気的に接続されるようにする。換言すると、導電特徴174は、導電特徴162と導電特徴170との間に延設されるパターン導電層であり、両者間に適正な電気的接続を提供する。例えば、導電特徴174の図示の底面(ラベル無し)は、誘電層156の上面S156と略同一面の表面と考えられる。導電特徴174は、導電パターン174と称されてもよい。
導電特徴162、170、及び174を含む導電特徴は、これに限定されるものでないが、図17及び図18に描かれる構造を被覆するように、底面S100bに沿って半導体基板100の上方に導電材層(図示せず)を形成し、導電材層は、開口OP2、OP3、及びOP4内に向かって延設され、パターニングプロセスPE4が導電材層に施されて、導電特徴162、導電特徴170、及び導電特徴174を同時に形成することによって形成されてもよい。パターニングプロセスPE4は、異なるパターンマスク層を使用する以外、図7及び図8に示されるパターニングプロセスPE1と同じ又は同一であってもよく、したがって、簡潔さのため、ここでは繰り返さない。導電材層は、図9及び図10に示されるとおり、導電材層160mの材料と同一又は同様であってもよく、したがって簡潔さのため、ここでは繰り返さない。例えば、導電格子160は、Al製であり、導電特徴162、170、及び174もまた、Al製である。他の例として、導電格子160は、Al製であり、導電特徴162、170、及び174は、W製である。図19に示されるとおり、導電格子160は、例えば、導電特徴162、導電構造170、及び導電パターン174を通じて相互接続120に電気的に接続される。
本開示において、複数の導電パターン174が導電構造170及び導電格子160を電気的に接続するように適合されているが、導電構造170及び導電格子160を電気的に接続するために、導電構造170のすべてに亘り、且つ、これに電気的に接続された1つの導電パターン174が存在してもよい。換言すると、例えば、1つの導電パターン174は、1つの導電構造170を導電格子160に電気的に接続することができるか、又は、2つ、もしくは2つ超の導電構造170を導電格子160に電気的に接続することができる。導電パターン174の数は、本開示に限定されるものでない。本開示において、2超の導電構造170が、相互接続120の金属化層のうち、最上層の1つの導電ライン124に接続されてもよく、これらは、2超の開口OP4の各々によって同時に露出される。例えば、2つの導電構造170ごとにともに、相互接続120の金属化層のうちの最上層の1つの導電ライン124に接続され、導電ライン124が、2つの開口OP4で露出される。しかしながら、本開示は、これに限定されるものでなく、代替として、導電構造170は各々、各1つの開口OP4によって露出された相互接続120の金属化層のうち最上層の1つの導電ライン124に接続されてもよい。換言すると、導電構造170は、相互接続120の金属化層のうちの最上層の異なる導電ライン124に接続される。或いは、導電構造170の部分における2以上の導電構造170が、相互接続120の金属化層のうちの最上層の1つの導電ライン124に接続されてもよく、導電構造170の残りの各導電構造170が、相互接続120の金属化層のうちの最上層の1つの導電ライン124に接続されてもよい。
図21及び図22を参照すると、いくつかの実施形態において、誘電層158は、図19及び図20に示される構造上に形成され、導電パターン174を被覆する。誘電層158には、酸化シリコン、窒化シリコン、酸窒化シリコン等、好適な誘電材料が含まれてもよい。いくつかの実施形態において、誘電層158の厚さT158は、おおよそ50Å~5000Åの範囲である。誘電層158は、CVD、物理気相蒸着(PVD)、熱酸化、UVオゾン酸化、又はこれらの組み合わせ等、好適なプロセスを使用して形成されてもよい。図21に示されるとおり、誘電層158の厚さT158は、例えば、誘電層156の厚さT156、誘電層154の厚さT154、及び誘電層150の厚さT150より厚くてもよい。いくつかの実施形態において、誘電層158の形成にはさらに、CMPプロセス等、平坦化プロセスが含まれてもよく、誘電層158が略平面的な上面を有するように形成されるようにする。一実施形態において、誘電層158の材料は、誘電層156の材料と同一であってもよく、この場合、誘電層156と158との間にはっきりした界面はない。他の実施形態において、誘電層158の材料は、誘電層156の材料とは異なり、誘電層156と158との間に界面が存在する。誘電層158は、高程度の平面性と平坦性を有する表面安定化層と称されてもよく、これは、後に形成される層/要素(例えば、カラーフィルタ、マイクロレンズ等)に有利である。
図23を参照すると、いくつかの実施形態において、光フィルタ層180(複数のカラーフィルタ182、184、及び186を含む)と、マイクロレンズ190とが、アクティブ領域AR内において、誘電層158の上、且つ、隔離構造GSの上方に配置される。例えば、図23に示されるとおり、各カラーフィルタ182、184、及び186は、隔離構造GSに被覆されない1つの感光素子PDに対応し、マイクロレンズ190は各々、カラーフィルタ182、184、及び186のうちの1つに対応する。しかしながら、本開示は、これに限定されるものでない。他の実施形態において、カラーフィルタ182、184、及び186は、各々、隔離構造GSに被覆されない1つ以上の感光素子PDに対応し、マイクロレンズ190は、各々、カラーフィルタ182、184、及び186のうちの1つに対応する。
カラーフィルタ182、184、及び186は、誘電層158の上面と略平行な上側表面を有し、カラーフィルタ182、184、及び186は、光の色又は波長に対応して割り当てられ、割り当てられた光の色又は波長以外すべてをフィルタで除くように構成されている。カラーフィルタの割り当ては、赤色、緑色、及び青色の光の間で変更され、カラーフィルタ182、184、及び186が、赤色カラーフィルタ182、緑色カラーフィルタ184、及び青色カラーフィルタ186を含むようにする。いくつかの実施形態において、カラーフィルタの割り当ては、ベイヤーフィルターモザイクに応じて、赤色、緑色、及び青色の光の間で変更される。シアン、イエロー、及びマゼンタ等、他の組み合わせも使用されてよい。カラーフィルタ182、184、及び186の異なる色の数も変更されてよい。実施形態によると、光フィルタ層180は、顔料又は染料で染めた材料(アクリル等)を備えてもよい。例えば、ポリメチルメタクリレート(PMMA)又はポリグリシジルメタくリレート(PGMS)は、好適な材料で、これにより、顔料又は染料が添加され、光フィルタ層180を形成してもよい。しかしながら、その他の材料が使用されてもよい。光フィルタ層180は、当分野で既知の任意の好適な方法で形成されてもよい。
マイクロレンズ190は、光フィルタ層180の上方に配置され、例えば、入射光L(図24)を感光素子PDに向かって集光するように構成される。マイクロレンズ190は、高透過率のアクリルポリマー等、パターニングされてレンズに形成され得る任意の材料で形成されてもよい。マイクロレンズ190は、当分野で既知の任意の好適な方法で形成されてもよい。マイクロレンズ190は、図23に示されるとおり、対応する画素11の感光素子PDと同心であり、感光素子PDの中心となる垂直軸に関して対称である。さらに、複数のマイクロレンズ190の隣接した縁部は、互いに当接する。
図24を参照すると、いくつかの実施形態において、キャリア50は、相互接続120から剥離し、上面S120tを露出する。いくつかの実施形態において、相互接続120の上面S120tは、剥離層52のために、キャリア50から容易に分離される。いくつかの実施形態において、キャリア50は、剥離プロセスにより、相互接続120の上面S120tから剥離され、キャリア50及び剥離層52が除去される。ある実施形態において、相互接続120の金属化層のうち最も外側の層(例えば、124)は、図24に示されるとおり、アクセス可能に開放される。一実施形態において、剥離プロセスは、レーザ剥離プロセスである。ここまでのようにして、イメージセンサ装置1000aが製造される。
ダイシング(単体化)プロセスを実施して、相互に接続された複数のイメージセンサ装置1000aを、個々の分離した(半導体)イメージセンサ装置1000aに切断し、イメージセンサ装置1000aがウェハレベルプロセスで完成する。一実施形態において、ダイシング(単体化)プロセスは、機械的ブレードソーイング又はレーザ切断を含むウェハダイシングプロセスであるが、本開示は、これに限定されるものでない。剥離ステップ中、図23に描かれる構造は、ひっくり返され(上下逆にされ)、キャリア50と剥離層52とを剥離するのに先立って、保持装置(図示せず)によって固定される。剥離プロセスとダイシング(単体化)プロセスの後、イメージセンサ装置1000aは、保持装置から解放される。
例えば、図24に示されるとおり、イメージセンサ装置1000aは、P型の半導体基板100を備え、負のバイアスNbが相互接続120に付与され、負のバイアスNbは、相互接続120に電気的に接続された、周辺領域PRに形成された導電構造170を通じて、導電格子160に伝えられ、隔離構造GS内の高濃度の負の電荷が、隔離構造GSの側壁に沿って正孔蓄積(「HA」で示される)を生成し、半導体基板100内の電子が、隔離構造GS付近に捕捉されることを防ぎ、漏洩電流と、近隣の画素11間のクロストークとを低減する。しかしながら、本開示は、これに限定されるものでなく、イメージセンサ装置1000aがn型の半導体基板100を備える他の実施形態において、正のバイアスが、相互接続120に電気的に接続された、周辺領域PRに形成された導電構造170を通じて、導電格子160に付与され、隔離構造GS内の高濃度の正の電荷が、隔離構造GSの側壁に沿って電子蓄積を生成し、半導体基板100内の正孔が、隔離構造GS付近に捕捉されることを防ぎ、漏洩電流と、近隣の画素11間のクロストークを低減する。このような隔離構造GSによると、感光素子PDの絶縁がより良好になるため、イメージセンサ10の性能が向上する。
他の実施形態において、X-Y面に沿って延設された誘電層156の一部が除去される。図25は、本開示のいくつかの他の実施形態に係る、半導体構造(例えば、(半導体)イメージセンサ装置1000b)に含まれるイメージセンサを示した垂直模式図である。以上に説明した要素と同様又は略同一の要素については、同一の参照符号を使用し、同一の要素の詳細又は説明については、ここでは繰り返さない。図25のイメージセンサ装置1000bは、図24のイメージセンサ装置1000aと同様であり、違いは、図25のイメージセンサ装置1000bにおいて、誘電層156が誘電層156Aと置き換えられ、導電特徴162が省略された点である。例えば、図25に示されるとおり、誘電層156Aは、開口OP2の側壁のみに配置される。
いくつかの実施形態において、Z方向に沿った半導体基板100における垂直投影では、誘電層156Aが、開口OP2内部にのみ配置され、誘電層156Aは、導電構造170とは重ならない。誘電層156Aは、開口OP2の誘電ライナと称される。誘電層156Aの材料の形成は、異なるパターンのエッチングマスクを使用する以外は、図15~図18に示される誘電層156の形成プロセス及び材料と同様であるため、ここでは繰り返さない。代替として、誘電層156Aは、ブランケットエッチングプロセスで形成されてもよく、エッチング中、エッチングマスクを使用しない。これについては、図29~図38と併せて、以降にさらに詳細に検討する。このような構成により、隔離構造GSの隔離能力を低下させることなく、イメージセンサ装置(例えば、1000b)の全体的な厚さがさらに薄型化される。例えば、イメージセンサ装置1000bの(Z方向における)全体的な厚さは、イメージセンサ装置1000aの(Z方向における)全体的な厚さ未満である。
代替として、各導電構造は、導電構造170Aのように、非ステップ形態の輪郭(又は外形)を有してもよい。図26及び図27は、本開示のいくつかの他の実施形態に係る、半導体構造(例えば、(半導体)イメージセンサ装置1000c)に含まれるイメージセンサを示す垂直及び水平模式図である。図28は、本開示のいくつかの他の実施形態に係る、半導体構造(例えば、(半導体)イメージセンサ装置1000d)に含まれるイメージセンサを示す垂直模式図である。以上に説明した要素と同様又は略同一の要素については、同一の参照符号を使用し、同一の要素の詳細又は説明は、ここでは繰り返さない。図26及び図27のイメージセンサ装置1000cは、図24のイメージセンサ装置1000aと同様であり、違いは、図26及び図27のイメージセンサ装置1000cにおいて、導電構造170が導電構造170Aに置き換えられる点である。例えば、図26の横断面図に示されるとおり、導電構造170Aの内側壁S170Aiと外側壁S170Aоとは、直線である(例えば、曲線でない)。換言すると、導電構造170Aの内側壁S170Aiと外側壁S170Aоには屈曲がない。
いくつかの実施形態において、図26及び図27に示されるとおり、開口OP4を形成する代わりに、複数の開口OP5が第2の絶縁体110を貫通するように形成され、開口OP5の側壁は、誘電層156の内側壁S156iと並べられる。例えば、開口OP5の幅D5は、おおよそ、0.08μm~14.8μmの範囲であり、この幅D5は、図26に示されるとおり、開口OP5の延設方向の直交方向に沿って測定される。いくつかの実施形態において、開口OP5のサイズ(例えば、D5)は、開口OP4のサイズ(例えば、D4)より大きい。開口OP5の形成及び材料は、異なるパターンのエッチングマスクを使用する以外は、図17~図18に示される開口OP4の形成プロセス及び材料と同様であるため、ここでは繰り返さない。いくつかの実施形態において、導電構造170A内部の誘電層156の幅は、Z方向に直交する方向(例えば、X方向、及び/又は、Y方向)に沿って測定すると、一定である。導電構造170Aの形状により、導電構造170Aと相互接続120の接触面積が増え、これにより、両者間の接触抵抗が低下するため、隔離構造GSの隔離能力を向上し、イメージセンサ10の性能をさらに向上させる。
或いは、イメージセンサ装置1000bと同様に、イメージセンサ装置1000cの誘電層156は、誘電層156Aに置き換えられてもよく、これにより、導電特徴162の存在を省略してもよい。図28に示されるイメージセンサ装置1000dを参照のこと。
図29~図38は、本開示のいくつかの実施形態に係る、半導体構造(例えば、(半導体)イメージセンサ装置2000a)に含まれるイメージセンサの製造方法を示す垂直及び水平模式図であり、図29、図31、図33、図35、及び図37は、図30、図32、図34、図36、及び図38に描かれたA-A線及びB-B線に沿った横断面図である。以上に説明した要素と同様又は略同一の要素については、同一の参照符号を使用し、同一の要素の詳細又は説明については、ここでは繰り返さない。
図29及び図30を参照すると、いくつかの実施形態において、誘電層156Bは、図13及び図14に示されるプロセスに従って、誘電層154及び導電格子160の上に形成され、開口OP2内に向かってさらに延設される。例えば、図29に示されるとおり、誘電層156Bは、開口OP2の側壁及び底面と、誘電層154の上面S154と、導電格子160の上面S160と、を被覆する。いくつかの実施形態において、誘電層156Bは、開口OP2の外側のX-Y面に沿って延設された第1の部分(ラベル無し)と、開口OP2の内側のZ方向に沿って延設された複数の第2の部分(ラベル無し)と、開口OP2の内側のX-Y面に沿って延設された複数の第3の部分(ラベル無し)と、を有し、第3の部分は、各々、第2の部分により、第1の部分に接続される。いくつかの実施形態において、誘電層156Bの第1の部分及び第3の部分は、各々、Z方向に沿って測定すると、おおよそ50Å~5000Åの範囲の厚さT156hを有する。いくつかの実施形態において、誘電層156Bの第2の部分は、各々、Z方向に直交する方向(例えば、X及び/又はY)に沿って測定すると、おおよそ50Å~5000Åの範囲の厚さT156vを有する。例えば、厚さT156hは、厚さT156v未満である。或いは、厚さT156hは、厚さT156vと略同等である。図29に示されるとおり、厚さT156hは一定であり、厚さT156vは、例えば、頂上部の開口から開口OP2の底面に向かって徐々に増加する。すなわち、厚さT156vは、一定でない。誘電層156Bの形成及び材料は、図15及び図18に示した誘電層156のプロセス及び材料と同様又は同一であるため、簡潔さのため、ここでは繰り返さない。
図31及び図32を参照すると、いくつかの実施形態において、パターニングプロセスBE1が、図29及び図30に描かれた構造に施され、複数の開口OP4を形成する。例えば、開口OP4は、誘電層156Bと、第2の絶縁体110の残りの部分を貫通するように、開口OP2内に形成され、相互接続120の金属化層のうちの1つの層(例えば、上面S120tから最も離間した導電ライン124)を露出するようにする。換言すると、導電ライン124の表面S124は、開口OP4によってアクセス可能に開放される。開口OP2のうちの1つは、開口OP4の1つずつと空間的に連通する。パターニングプロセスBE1は、例えば、エッチング中、フォトマスクを使用しないブランケットエッチングプロセスである。いくつかの実施形態において、ブランケットエッチングプロセスBE1は、誘電層156Bの第1の部分、第2の部分、及び第3の部分を同時にパターニングするように、半導体基板100の底面S100b(例えば、アクティブ領域AR及び周辺領域PRの双方に)全体的に実施される異方性エッチングであり、誘電層156Bの第1の部分及び第3の部分は、完全に除去され、第2の部分の各々は、一部、開口OP2の側壁に配置された残り部分としてそのまま残される。誘電層156B(例えば、残りの第2の部分)は、(開口OP2の)誘電ライナとも称されてよく、これは、一定でない厚さT156vを有する。例えば、図31及び図32に示されるとおり、誘電層156Bの第2の部分の上面S156Bと、誘電層154の上面S154と、導電格子160の上面S160とは、アクセス可能に開放される。
図33及び図34を参照すると、いくつかの実施形態において、複数の導電特徴172及び複数の導電特徴174を含む導電特徴が、周辺領域PR内の誘電層154及び156B上に形成される。いくつかの実施形態において、導電特徴170は、直接接触により、導電特徴174と電気的に接続される。例えば、導電特徴170及び174は、一体的に形成される。
いくつかの実施形態において、導電特徴172は、相互接続120の金属化層のうちの露出層と接触するように、開口OP2及び開口OP4内に形成され、導電特徴172が、相互接続120に電気的に接続されるようにする。例えば、図33に示されるとおり、導電特徴172は、開口OP2の側壁に配置された誘電層156Bの内側壁S156Biと接触する導電柱体の形態で形成され、開口OP4の側壁(ラベル無し)及び底面(ラベル無し)と接触するように、開口OP4内に向かってさらに延設される。例えば、導電特徴172の図示の上面(ラベル無し)は、誘電層154の上面S154及び誘電層156Bの上面S156Bと略同一面の表面と考えられる。いくつかの実施形態において、導電特徴172は、各々、開口OP2内に第1の部分172aと、開口OP4内に第2の部分172bとを備える。例えば、図33に示されるとおり、導電特徴172は、第2の部分172bと、相互接続120の金属化層のうちの露出層とを物理的及び電気的に接続することにより、相互接続120に電気的に接続され、導電特徴172は、第1の部分172aと導電特徴174とを物理的及び電気的に接続することにより、導電特徴174に電気的に接続される。導電特徴172は、導電構造172と称されてもよく、各第1の部分172aは、導電体と称されてもよく、各第2の部分172bは、導電体の導電ビアと称されてもよい。図33に示されるとおり、導電構造172は、各々、ステップ形状の輪郭(又は外形)を有し、横断面図における導電構造172の側壁S172は、各々、例えば、曲線である(例えば、直線ではない)。
いくつかの実施形態において、導電特徴174は、導電特徴172と、隔離構造GSの導電格子160とに接触するように、誘電層154の上面S154と、誘電層156Bの上面S156Bとの上に形成され、導電特徴174が、導電特徴172と、隔離構造GSの導電格子160と電気的に接続されるようにする。換言すると、導電特徴174は、隔離構造GSの導電格子160と導電特徴172との間に延設された平面的導電層であり、両者間に適正な電気的接続を提供する。例えば、導電特徴174の図示の底面(ラベル無し)は、誘電層154の上面S154と略同一面の表面と考えられる。導電特徴174は、導電パターン174と称されてもよい。
導電特徴172及び174を含む導電特徴は、これに限定されるものでないが、図31に描かれた構造を被覆するように、底面S100bに沿って、半導体基板100の上方に導電材層(図示せず)を形成し、導電材層が、開口OP2及びOP4を埋め、パターニングプロセスPE5が導電材層に施されて、導電特徴172と導電特徴174とを同時に形成することによって形成されてもよい。パターニングプロセスPE5は、異なるパターンマスク層を使用する以外、図7及び図8に示されるパターニングプロセスPE1と同じ又は同一であってもよいので、簡潔さのため、ここでは繰り返さない。導電材層は、図9及び図10に示された導電材層160mの材料と同一又は同様であってもよいので、簡潔さのため、ここでは繰り返さない。例えば、導電格子160は、Al製であり、導電特徴170及び174もAl製である。他の例として、導電格子160は、Al製であり、導電特徴170及び174は、W製である。図33に示されるとおり、導電格子160は、例えば、導電構造172及び導電パターン174を通じて、相互接続120に電気的に接続される。導電構造172が存在すると、隔離構造GSと相互接続120との間の電気的接続を確実にすることができる。
いくつかの実施形態において、導電材層の形成には、CMPプロセス等の平坦化プロセスがさらに含まれてもよく、導電材層に略平面的な上面を持たせるように形成する。加えて、複数の導電パターン174が、導電構造172及び導電格子160を電気的に接続するように適合されたが、導電構造172と導電格子160とを電気的に接続するために、導電構造172のすべてに亘り、且つ、これに電気的に接続された導電パターン174は1つであってもよい。例えば、1つの導電パターン174が、導電構造172を導電格子160に電気的に接続することができ、又は2つもしくは2超の導電構造172を導電格子160に電気的に接続することができる。導電パターン174の数は、本開示に限定されるものでない。
図35及び図36を参照すると、いくつかの実施形態において、誘電層158は、図33及び図34に描かれる構造上に形成され、導電パターン174を被覆する。誘電層158は、高程度の平面性及び平坦性を有する保護層と称されてもよく、これは、後に形成される層/要素(例えば、カラーフィルタ、マイクロレンズ等)にとって有利である。誘電層158の詳細については、図21及び図22で説明したので、簡潔さのため、ここでは繰り返さない。図37を参照すると、いくつかの実施形態において光フィルタ層180(複数のカラーフィルタ182、184、及び186を含む)と、マイクロレンズ190とが、アクティブ領域AR内において、誘電層158の上、且つ、隔離構造GSの上方に配置される。光フィルタ層180及びマイクロレンズ190の詳細については、図23で説明したので、簡潔さのため、ここでは繰り返さない。図38を参照すると、いくつかの実施形態において、上述の図24において説明した上述の製造方法を、図37に描かれた構造に施し、図38に描かれるイメージセンサ装置2000aを得ることができる。隔離構造GSによると、感光素子PDの隔離がより良好になるため、イメージセンサ10の性能を向上することができる。さらに、イメージセンサ2000aの(Z方向の)全体的な厚さが、さらに薄型化される。
図39は、本開示のいくつかの他の実施形態に係る、半導体構造(例えば、(半導体)イメージセンサ装置2000b)に含まれるイメージセンサを示した垂直模式図である。以上に説明した要素と同様又は略同一の要素については、同一の参照符号を使用し、同一の要素の詳細又は説明については、ここでは繰り返さない。図39のイメージセンサ装置2000bは、図38のイメージセンサ装置2000aと同様であり、違いは、図38のイメージセンサ装置2000bにおいて、誘電層156Bの第1の部分が誘電層154の上面S154に残される点である。換言すると、上述の図33及び図34に示した上述の製造プロセスにおける(フォトマスクを伴わない)パターニングプロセスBE1を使用する代わりに、他のパターニングプロセス(フォトマスクを伴う)を開口OP4の形成に適合する。他のパターニングプロセスとは、異なるパターンマスク層を使用すること以外、図7及び図8に示されるパターニングプロセスPE1と同じ又は同一であってもよいので、簡潔さのため、ここでは繰り返さない。
図40及び図41は、本開示のいくつかの他の実施形態に係る、半導体構造(例えば、(半導体)イメージセンサ装置2000c)に含まれるイメージセンサを示す垂直及び水平模式図である。図42は、本開示のいくつかの他の実施形態に係る、半導体構造(例えば、(半導体)イメージセンサ装置2000d)に含まれるイメージセンサを示す垂直模式図である。以上に説明した要素と同様又は略同一の要素については、同一の参照符号を使用し、同一の要素の詳細又は説明については、ここでは繰り返さない。図40及び図41のイメージセンサ装置2000cは、図38のイメージセンサ装置2000aと同様であり、違いは、図40及び図41のイメージセンサ2000cにおいて、導電構造172が導電構造172Aに置き換えられる点である。例えば、図40の横断面図に示されるとおり、導電構造172Aの側壁S172Aは、直線である(例えば、曲線ではない)。換言すると、導電構造172Aの側壁S172Aには屈曲がない。すなわち、導電構造172Aは、各々、非ステップ形状の輪郭(又は外形)を有する。導電構造172Aの形成及び材料は、図26及び図27に示される開口OP5の形成プロセスと、図33及び図34に示される導電構造172を形成するプロセス及び材料と同様であるので、簡潔さのため、ここでは繰り返さない。導電構造172Aのおかげで、導電構造170Aと相互接続120との間の接触面積が増え、両者間の接触抵抗が低下するため、隔離構造GSの隔離能力を向上し、イメージセンサ10の性能をさらに向上させることができる。
或いは、イメージセンサ装置2000bと同様に、イメージセンサ装置2000cの誘電層156Bの第1の部分が除去されてもよい。図42に示されるイメージセンサ装置2000dを参照のこと。
他の実施形態において、アクティブ領域AR内に第1の隔離体として、及び/又は、周辺領域PRに第2の隔離体として、STIを有する代わりに、複数のドープ領域の積層構造を有するドープ隔離特徴の形態で、第1の隔離体及び第2の隔離体が、ここに形成されてもよい。イメージセンサを構成する半導体基板の導電型により、複数のドープ領域におけるドーパントが変更されてもよい。いくつかの実施形態において、複数のドープ領域におけるドーパントと、内部に複数のドープ領域とが形成された半導体基板のドーパントとは、同一種別であってもよい。
図43~図50は、本開示のいくつかの実施形態に係る、半導体構造(例えば、(半導体)イメージセンサ3000a)に含まれるイメージセンサの製造方法を示す垂直及び水平模式図であり、図43、図45、図47、及び図49は、図44、図46、図48、及び図50に描かれるA-A線及びB-B線に沿った横断面図である。以上に説明した要素と同様又は略同一の要素については、同一の参照符号を使用し、同一の要素の詳細又は説明については、ここでは繰り返さない。
図43及び図44を参照すると、いくつかの実施形態において、初期集積回路構造ICS’は、剥離層52を通じてキャリア50上に設けられ、またこの上に載置された後、初期集積回路構造ICS’は、図6で上述したプロセスによって薄型化される。いくつかの実施形態において、図43に示されるとおり、初期集積回路構造ICS’は、半導体基板100Aと、素子領域(図示せず)と、相互接続120とを備える。キャリア50、剥離層52、素子領域、相互接続120の詳細については、図4及び図5で説明したので、簡潔さのため、ここでは繰り返さない。本開示において、図43の半導体基板100Aは、図6の半導体基板100と同様であり、違いは、図43の半導体基板100Aにおいて、第2の隔離体110が、複数の第2の隔離体110Aに置き換えられる点である。いくつかの実施形態において、第2の隔離体110Aには、各々、ドープ領域の積層構造を有するドープ隔離体が含まれる。第2の隔離体110Aは、ドープ隔離特徴110Aと称されてもよい。例えば、図43に示されるとおり、ドープ隔離特徴110Aには、各々、Z方向に積層された、ドープ領域112、ドープ領域114、及びドープ領域116が含まれる。いくつかの実施形態において、Z方向に沿って、ドープ領域112は、相互接続120とドープ領域114との間に配置され、ドープ領域114は、ドープ領域112とドープ領域116との間に配置される。いくつかの実施形態において、第2の絶縁体110Aの厚さT110Aは、おおよそ0.01μm~10μmの範囲であり、この厚さT110Aは、図43に示されるとおり、ドープ領域112~116の積層方向に沿って測定される。
例えば、ドープ領域112の表面は、半導体基板100Aの上面S100tと略同一面であり、ドープ領域116は、半導体基板100Aの底面によってアクセス可能に開放されない。いくつかの実施形態において、ドープ隔離特徴110Aは、相互接続120の金属化層のうち、1つの層(例えば、上面S120tから最も離間した1つ、又は1超のビア126)を通じて、相互接続120に電気的に接続される。半導体基板100Aの構成は、図4及び図5に示される半導体基板100の構成と同様であるので、簡潔さのため、ここでは繰り返さない。
いくつかの実施形態において、半導体基板100A及びドープ領域112、114、及び116は、同一の導電型を有する。例えば、半導体基板100Aは、p型基板であり、ドープ領域112、114、及び116は、p型ドーパント(ホウ素又はBF等)でドープされる。ドープ領域112、114、及び116の形成は、これに限定されるものでないが、相互接続120の形成に先立って、半導体基板100Aの上面S100tを通じて、ホウ素等、p型ドーパントを注入することにより、形成されてもよい。いくつかの実施形態において、ドープ領域114のp型ドープ濃度は、ドープ領域112のp型ドープ濃度より高く、ドープ領域116のp型ドープ濃度は、ドープ領域114のp型ドープ濃度より高い。また、ドープ領域116のp型ドープ濃度は、半導体基板100Aのp型ドープ濃度より高い。ドープ領域112は、約1015/cm~約1021/cmの範囲のドープ濃度を有してもよい。ドープ領域114は、約1015/cm~約1019/cmの範囲のドープ濃度を有し得る。ドープ領域116は、約1013/cm~約1018/cmの範囲のドープ濃度を有し得る。いくつかの実施形態においては、各ドープ隔離構造110Aに対して、ドープ領域112が、p+ドープ領域又はp+ウェルと称されてもよく、ドープ領域114が、高濃度ドープ領域又はセルp-ウェル(CPW)と称されてもよく、ドープ領域116が、高濃度ドープ領域又はディープp-ウェル(DPW)と称されてもよい。
一方、半導体基板100Aがn型基板である場合、ドープ領域112、114、116は、n型ドーパント(リン又は砒素等)でドープされる。ドープ領域112、114、及び116の形成は、これに限定されるものでないが、相互接続120の形成に先立って、半導体基板100Aの上面S100tを通じてn型ドーパントを注入することにより、形成されてもよい。いくつかの実施形態において、ドープ領域114のn型ドープ濃度は、ドープ領域112のn型ドープ濃度より高く、ドープ領域116のn型ドープ濃度は、ドープ領域114のn型ドープ濃度より高い。また、ドープ領域116のn型ドープ濃度は、半導体基板100Aのn型ドープ濃度より高い。ドープ領域112は、約1015/cm~約1021/cmの範囲のドープ濃度を有してもよい。ドープ領域114は、約1015/cm~約1019/cmの範囲のドープ濃度を有し得る。ドープ領域116は、約1013/cm~1018/cmの範囲のドープ濃度を有し得る。いくつかの実施形態においては、各ドープ隔離構造110Aについて、ドープ領域112が、n+ドープ領域又はn+ウェルと称されてもよく、ドープ領域114は、高濃度ドープ領域又はセルn-ウェル(CNW)と称されてもよく、ドープ領域116は、高濃度ドープ領域又はディープn-ウェル(DNW)と称されてもよい。
第1の隔離体(図示せず)は、ドープ隔離特徴110Aと同一の構造を有してもよい。或いは、第1の隔離体は、ドープ隔離特徴110Aと同一の構造を有さなくてもよい。本開示は、これに限定されるものでない。
図45及び図46を参照すると、いくつかの実施形態において、複数のトレンチOP1が半導体基板100A内に形成され、導電格子160を有する隔離構造GSが、トレンチOP1内に形成され、トレンチOP1は、ともに、格子(メッシュ)空洞を構成している。トレンチOP1の詳細は、図7及び図8に示した上述の製造プロセスにおいて説明しており、隔離構造GSの詳細については、図9及び図12に示した上述の製造プロセスにおいて説明したので、簡潔さのため、ここでは繰り返さない。
図47及び図48を参照すると、いくつかの実施形態において、パターニングプロセスPE2が施され、半導体基板100A内に複数の開口OP2を形成して、ドープ隔離特徴110Aを露出する。例えば、ドープ隔離特徴110Aの表面S116は、周辺領域PRに形成された開口OP2によってアクセス可能に露出される。パターニングプロセスPE2の詳細と、開口OP2の詳細とは、図13及び図14に示される上述の製造プロセスにおいて説明したので、簡潔さのため、ここでは繰り返さない。いくつかの実施形態において、ドープ隔離特徴110Aの表面S116を露出する開口OP2を形成した後、上述の図19~図24で示した上述の製造プロセスを、図47及び図48に描かれる構造に施すことで、図49及び図50に描かれるイメージセンサ装置3000aを得ることができる。イメージセンサ装置3000aでは、複数の導電特徴(又は、導電パターン)174が、複数の導電特徴(又は、導電構造)170Aを、隔離構造GSの導電格子160に電気的に接続し、隔離構造GSは、ドープ隔離特徴110A、導電構造170A、及び導電パターン174を通じて、相互接続構造120(例えば、ビア126)に電気的に接続される。このような隔離構造GSにより、感光素子PDの隔離がより良好になるため、イメージセンサ10の性能が向上される。
他の実施形態において、イメージセンサ装置3000aにおいて誘電層154の上方でX-Y面に沿って延設された誘電層156の一部が除去される。図51の(半導体)イメージセンサ装置3000bを参照のこと。誘電層156のこの部分の除去は、図25において上述したプロセス、又は図33~図36において上述したプロセスと同様又は同一のプロセスで行われてもよい。このような構成により、隔離構造GSの隔離能力を低下させることなく、イメージセンサ装置(例えば、3000b)の全体的な厚さがさらに薄型化される。
図49のイメージセンサ装置3000aと、図51のイメージセンサ装置3000bとに示されるとおり、導電構造170Aは、各々、例えば、非ステップ形態の輪郭(又は外形)を有する。しかしながら、本開示は、これに限定されるものでなく、代替として、図49のイメージセンサ装置3000aと、図51のイメージセンサ装置3000bとの導電構造170Aは、図59に示されるとおり、各々が、ステップ形態の輪郭(又は外形)を有した導電構造170で置き換えられてもよい。
さらに他の実施形態において、イメージセンサ装置3000aの導電構造170Aは、導電構造172Aに置き換えられる。図52及び図53の(半導体)イメージセンサ装置3000cを参照のこと。導電構造172Aが存在すると、隔離構造GSと相互接続120との間の電気的接続を確実にすることができる。導電構造172Aの形成は、図40~図41において上述したプロセスと同様又は同一のプロセスで行われてもよい。さらに他の実施形態において、イメージセンサ装置3000bと同様に、イメージセンサ装置3000cの誘電層154の上方においてX-Y面に沿って延設される誘電層156の一部が除去される。図54の(半導体)イメージセンサ装置3000dを参照こと。このような構成によると、隔離構造GSの隔離能力を低下させることなく、イメージセンサ装置(例えば、3000d)の全体的な厚さがさらに薄型化される。
図52のイメージセンサ装置3000cと、図54のイメージセンサ装置3000dとに示されるとおり、導電構造172Aは、各々、例えば、非ステップ形態の輪郭(又は外形)を有する。しかしながら、本開示はこれに限定されるものでなく、代替として、図52のイメージセンサ装置3000cと図54のイメージセンサ装置3000dとの導電構造172Aは、図60に示されるとおり、各々、ステップ形態の輪郭(又は外形)を有する導電構造172に置き換えられてもよい。
図55~図58は、本開示のいくつかの実施形態に係る、半導体構造(例えば、(半導体)イメージセンサ装置)に含まれるイメージセンサの種々の実施形態を示す垂直(又は横断面)模式図である。以上に説明した要素と同様又は略同一の要素については、同一の参照符号を使用し、同一の要素の詳細又は説明については、ここでは繰り返さない。
例えば、図55の(半導体)イメージセンサ装置4000aと、図49のイメージセンサ装置3000aとは、同様であり、違いは、図55に描かれたイメージセンサ装置4000aにおいて、複数の第2の隔離体(ドープ隔離構造と称される)110Bが第2の隔離体(ドープ隔離構造と称される)110Aと置き換えられるように適合される。ドープ隔離構造110B以外に、イメージセンサ装置4000aの詳細及び他の部品は、図43~図50において説明したイメージセンサ装置3000aの詳細及び他の部品と同様であるので、簡潔さのため、ここでは繰り返さない。
いくつかの実施形態において、ドープ隔離構造110Bは、各々、Z方向に積層されたドープ領域112と、ドープ領域114とを備える。いくつかの実施形態において、Z方向に沿って、ドープ領域112は、相互接続120とドープ領域114との間に配置され、ドープ領域114は、半導体基板100Bの底面S100bによってアクセス可能に開放されず、ドープ領域112の表面は、半導体基板100Bの上面S100tと略同一面にある。いくつかの実施形態において、ドープ隔離構造110Bの厚さT110Bは、おおよそ0.01μm~9.5μmの範囲であり、この厚さT110Bは、図55に示されるとおり、ドープ領域112~114の積層方向に沿って測定される。例えば、開口OP2は、半導体基板100Bを通し、ドープ隔離構造110B(例えば、表面S114)を露出(又は、アクセス可能に開放)する。いくつかの実施形態において、ドープ隔離特徴110Bは、相互接続120の金属化層のうち、1つの層(例えば、上面S120tから最も離間した1つ、又は1超のビア126)を通じて、相互接続120に電気的に接続される。いくつかの実施形態において、図55に示されるとおり、導電パターン174は、導電構造170Aを隔離構造GSの導電格子160に電気的に接続し、隔離構造GSは、ドープ隔離特徴110B、導電構造170A、及び導電パターン174を通じて、相互接続構造120(例えば、ビア126)に電気的に接続される。このような隔離構造GSにより、感光素子PDの隔離がより良好となるため、イメージセンサ10の性能が向上される。
他の実施形態において、イメージセンサ装置4000aにおいて誘電層154の上方でX-Y面に沿って延設された誘電層156の一部が除去される。図56の(半導体)イメージセンサ装置4000bを参照のこと。誘電層156のこの部分の除去は、図25において上述したプロセス、又は図33~図36において上述したプロセスと同様又は同一のプロセスによって行われてもよい。このような構成により、隔離構造GSの隔離能力を低下させることなく、イメージセンサ装置(例えば、4000b)の全体的な厚さがさらに薄型化される。図55のイメージセンサ装置4000aと、図56のイメージセンサ装置4000bとに示されるとおり、導電構造170Aは、各々、例えば、非ステップ形態の輪郭(又は外形)を有する。しかしながら、本開示は、これに限定されるものでなく、代替として、図55のイメージセンサ装置4000aと、図56のイメージセンサ装置4000bとの導電構造170Aは、図61に示されるとおり、各々がステップ形態の輪郭(又は外形)を有した導電構造170に置き換えられてもよい。
さらに他の実施形態において、イメージセンサ装置4000aの導電構造170Aは、導電構造172Aによって置き換えられる。図57の(半導体)イメージセンサ装置4000cを参照のこと。導電構造172Aが存在することで、隔離構造GSと相互接続120との間の電気的接続を確実にすることができる。導電構造172Aの形成は、図40~図41において上述したプロセスと同様又は同一のプロセスで行われてもよい。さらに他の実施形態において、イメージセンサ装置4000bと同様に、イメージセンサ装置4000cにおいて誘電層154の上方でX-Y面に沿って延設された誘電層156の一部が除去される。図58の(半導体)イメージセンサ装置4000dを参照のこと。このような構成によると、隔離構造GSの隔離能力を低下させることなく、イメージセンサ装置(例えば、4000d)の全体的な厚さがさらに薄型化される。図57のイメージセンサ装置4000cと、図58のイメージセンサ装置4000dに示されるとおり、導電構造172Aは、各々、例えば、非ステップ形態の輪郭(又は外形)を有する。しかしながら、本開示は、これに限定されるものでなく、代替として、図57のイメージセンサ装置4000cと、図58のイメージセンサ装置4000dとの導電構造172Aは、図62に示されるとおり、各々、ステップ形態の輪郭(又は外形)を有した導電構造172に置き換えられてもよい。
図63~図75は、本開示のいくつかの実施形態に係る半導体構造(例えば、(半導体)イメージセンサ装置2000a)に含まれるイメージセンサの製造方法を示す垂直及び水平模式図であり、図63、図65、図67、図69、図71、及び図73は、図64、図66、図68A、図70A、図72A、及び図74に描かれたA-A線及びB-B線に沿った横断面図である。図76は、本開示のいくつかの他の実施形態に係る、(半導体)イメージセンサダイにおけるイメージセンサを示した垂直模式図である。以上に説明した要素と同様又は略同一の要素については、同一の参照符号を使用し、同一の要素の詳細又は説明については、ここでは繰り返さない。
図63及び図64を参照すると、いくつかの実施形態において、初期集積回路構造ICS”が、剥離層52を通じて、キャリア50上に設けられ、この上に載置された後、初期集積回路構造ICS”は、図6において上述したプロセスで薄型化される。図63の初期集積回路構造ICS”は、図43において説明した初期集積回路構造ICS’と同様であり、違いは、図63の初期集積回路構造ICS”において、複数の第2の隔離体(ドープ隔離構造と称される)110Cが、第2の隔離体(ドープ隔離構造と称される)110Aに置き換えられるように適合される点である。ドープ隔離構造110C以外に、初期集積回路構造ICS”の詳細及び他の部品は、図43~図44において上述した初期集積回路構造ICS’の詳細及び他の部品と同様であるので、簡潔さのため、ここでは繰り返さない。
いくつかの実施形態において、ドープ隔離構造110Cは、各々、Z方向に積層された、ドープ領域(p+ドープ領域又はp+ウェルと称される)112a、ドープ領域(高濃度ドープ領域又はセルp-ウェル(CPW)と称される)114a、ドープ領域(高濃度ドープ領域又はディープp-ウェル(DPW)と称される)116aを備える。いくつかの実施形態において、Z方向に沿って、ドープ領域112aは、相互接続120とドープ領域114aとの間に配置され、ドープ領域114aは、ドープ領域112aとドープ領域116aとの間に配置される。例えば、図63に示されるとおり、ドープ領域116aは、半導体基板100Cの底面S100bによってアクセス可能に開放されず、ドープ領域112aの表面は、相互接続120の金属化層のうち、1つの層(例えば、上面S120tから最も離間した1つ、又は1超のビア126)を通じて、相互接続120に電気的に接続するように、半導体基板100Cの上面S100tと略同一面である。いくつかの実施形態において、ドープ隔離構造110Cの厚さT110Cは、おおよそ0.01μm~10μmの範囲であり、この厚さT110Cは、図63に示されるとおり、ドープ領域112a~116aの積層方向に沿って測定される。ドープ領域112a~116aの形成及び材料は、図43~図44において上述したドープ領域112~116を形成するプロセス及び材料と同一又は同様であるので、簡潔さのため、ここでは繰り返さない。
図65及び図66を参照すると、いくつかの実施形態において、パターニングプロセスPE6が施され、複数のトレンチOP6と、複数の開口OP7とが形成される。パターニングプロセスPE6は、異なるパターンマスク層を使用すること以外、図7及び図8に示されるパターニングプロセスPE1と同じ又は同一であってもよく、簡潔さのため、ここでは繰り返さない。図65及び図66に示されるとおり、トレンチOP6は、アクティブ領域ARに形成され、周辺領域PRのみに形成された開口OP7と空間的に連通するように、周辺領域PRにさらに延設される。例えば、トレンチOP6は、連続トレンチであってもよく、アクティブ領域AR内で格子形状(例えば、格子メッシュの形態)として構成されてもよい。すなわち、トレンチOP6は、ともに、アクティブ領域AR内の半導体基板100Cに形成された格子(メッシュ)空洞と称されてもよい。例えば、図65及び図66に示されるとおり、感光素子PDは、トレンチOP6に制限された複数の領域166内に位置決めされる。いくつかの実施形態において、ドープ隔離構造110Cの上面S116aは、開口OP7によって露出される(例えば、アクセス可能に開放される)。
例えば、トレンチOP6の高さT6は、おおよそ0.1μm~20μmの範囲である。一実施形態において、トレンチOP6の高さT6は、半導体基板100Cの厚さT100未満である。他の実施形態において、トレンチOP6の高さT6は、半導体基板100Cの厚さT100と略同等である。例えば、トレンチOP6の幅D6は、おおよそ0.01μm~5μmの範囲であり、この幅D6は、図65及び図66に示されるとおり、トレンチOP6の延設方向に直交する方向に沿って測定される。例えば、開口OP7の高さT7は、おおよそ0.1μm~20.9μmの範囲である。一実施形態において、開口OP7の高さT7は、半導体基板100Cの厚さT100未満である。他の実施形態において、開口OP7の高さT7は、半導体基板100Cの厚さT100と略同等である。例えば、開口OP7の幅D7は、おおよそ0.013μm~25μmの範囲であり、この幅D7は、図65に示されるとおり、開口OP7の延設方向に直交する方向に沿って測定される。いくつかの実施形態において、トレンチOP6の幅D6は、開口OP7の幅D7未満である。例えば、トレンチOP6の幅D6の開口OP7の幅D7に対する比率は、おおよそ1:1.3~1:5の範囲である。
図67、図68A、及び図68を参照すると、いくつかの実施形態において、誘電層150、誘電層154、誘電層156m、及び誘電層158は、底面S100Bに沿って半導体基板100Cの上方に連続して形成される。例えば、誘電層150は、半導体基板100Cの底面S100b上に共形に形成され、トレンチOP6及び開口OP7の側壁(ラベル無し)及び底面(ラベル無し)の内部に向かってさらに延設され、誘電層152は、誘電層150の上面S150上に共形に形成され、誘電層150を被覆するようにトレンチOP6及び開口OP7の内部に向かってさらに延設され、誘電層154は、誘電層152の上面S152上に共形に形成され、誘電層152を被覆するようにトレンチOP6及び開口OP7の内部に向かってさらに延設される。誘電層150、152、154は、個別に、(トレンチOP6及び開口OP7の)誘電ライナとも称されてよい。いくつかの実施形態において、誘電層150、152、及び154は、各々、原子層蒸着ALD等、良好なギャップ埋め能力を有するか、蒸着率の低い好適なプロセスを使用して形成されてもよい。誘電層150、152、及び154の材料については、図9及び図10において説明したので、簡潔さのため、ここでは繰り返さない。他の実施形態において、誘電層152が省略されてもよい。
誘電層154を形成した後、トレンチOP6及び開口OP7内に向かって延設することなく、誘電層156mを誘電層154の上面S154上に形成する。いくつかの実施形態において、誘電層156mは、PECVDプロセス等、ギャップ埋め能力が乏しいか、又は蒸着率の高い蒸着プロセスで形成される。このようにして、誘電層156mが非共形層として形成されてもよい。いくつかの実施形態において、半導体基板100Cの底面S100bの上方の誘電層156mの厚さは、トレンチOP6及び開口OP7の上方の誘電層156mの厚さよりはるかに厚い。いくつかの実施形態において、誘電層156mは、トレンチOP6及び開口OP7に実質的に埋められない。いくつかの実施形態において、図67に示されるとおり、トレンチOP6の幅D6と開口OP7の幅D7との間の比率により、トレンチOP6の頂上部は、誘電層156mによって被覆される一方で、開口OP7の頂上部は、誘電層156mに完全には被覆されない。例えば、図67、図68A、及び図68Bに示されるとおり、誘電層156mに形成された複数の開口OP8は、各々、開口OP7を露出させる。すなわち、開口OP8の位置決め箇所は、図68A及び図68Bに示されるとおり、Z方向に沿った半導体基板100Cの垂直投射において、開口OP7の位置決め箇所と重なる。誘電層156mの材料は、図15及び図16に示される誘電層156の材料と同一又は同様であるので、簡潔さのため、ここでは繰り返さない。
図69、図70A、及び図70Bを参照すると、いくつかの実施形態において、パターニングプロセスBE2を、誘電層156mに施し、複数のトレンチOP9及び開口OP8を有する誘電層156を形成し、開口OP7の底面上の誘電層150、152、及び154の一部を貫通し、且つ、開口OP8に重なる複数の開口OP10を形成する。パターニングプロセスBE2は、図31及び図32に示されるパターニングプロセスBE1と同じ又は同一であるので、簡潔さのため、ここでは繰り返さない。
いくつかの実施形態において、トレンチOP9が、トレンチOP6の上方に、且つ、この内部に形成され、トレンチOP9は、アクティブ領域AR内に形成され、周辺領域PRのみに形成された開口OP8に空間的に連通するように、周辺領域PRにさらに延設される。例えば、トレンチOP9は、連続トレンチであってもよく、アクティブ領域AR内に格子形状(例えば、格子メッシュの形状)として構成されてもよい。すなわち、トレンチOP9は、ともに、トレンチOP6内部において、アクティブ領域AR内の半導体基板100Cに形成された格子(メッシュ)空洞とも称されてよい。
いくつかの実施形態において、開口OP10は、周辺領域PRにおいて、開口OP8及び開口OP7の下方において、これらと空間的に連通するように形成される。例えば、図69、図70A、及び図70Bに示されるとおり、ドープ隔離構造110Cの上面S116aが、開口OP10によって露出される(例えば、アクセス可能に開放される。いくつかの実施形態において、開口OP10のサイズD10は、開口OP8のサイズ(ラベル無し)と略同等である。
開口OP7、OP8、及び/または、OP10の平面図(例えば、X-Y図)を考慮すると、開口OP7、OP8、及び/又は、OP10の形状には、独立して、円形状が含まれてもよい。しかしながら、本開示は、これに限定されるものでなく、他の実施形態において、平面図における開口OP7、OP8、及び/又は、OP10の形状は、例えば、矩形、楕円形、長円形、正方形、八角形、又は任意の好適な多角形状である。
図71、図72A、及び図72Bを参照すると、いくつかの実施形態において、複数の導電特徴168と、導電特徴178と、複数の導電特徴176とを含む導電特徴が、誘電層156上に形成される。いくつかの実施形態において、導電特徴168は、導電特徴178を通じて、導電特徴176に電気的に接続され、導電特徴168、176、及び178は、一体的に形成される。
いくつかの実施形態において、導電特徴168は、トレンチOP6及びOP9内に形成される。例えば、導電特徴168は、トレンチOP6及びトレンチOP9を埋める。例えば、図71に示されるとおり、導電特徴168の上面(ラベル無し)は、誘電層156の上面S156と略同一面の表面と考えられる。導電特徴168は、ともに、導電格子168と称されてもよい。例えば、トレンチOP6及びOP9における導電格子168と、トレンチOP6における誘電層150、152、及び154(誘電ライナとして機能する)とは、本開示において、格子メッシュ形態の隔離構造GS’と称される。いくつかの実施形態において、トレンチOP6内に配置された誘電層150の一部、誘電層152の一部、及び誘電層154の一部は、ともに、隔離構造GS’の誘電構造DI2と称される。このような隔離構造GS’を有する効果的特徴の1つとして、バイアス(例えば、図75に示される負のバイアスNb)を導電格子168に付与し、隔離構造GS’の側壁に沿って正孔蓄積を生成し、隔離構造GS’付近に電子が捕捉されることを防ぐことで、漏洩電流と、イメージセンサ10における近隣の画素11間のクロストークとを低減する。したがって、イメージセンサ10の性能が向上する。図71、図72A、及び図72Bに示されるとおり、アクティブ領域AR内の隔離構造GS’は、画素11の駆動回路DC及び記憶素子SDと、領域166に位置決めされた感光素子PDの付近とを被覆する。領域166は、感光素子PDを包囲し、これを露出する隔離構造GS’の開口166と称されてもよい。トレンチOP1がFDTである他の実施形態において、アクティブ領域AR内の隔離構造GS’は、駆動回路DC、記憶素子SD、及び感光素子PDに近接する。
いくつかの実施形態において、導電特徴176は、開口OP10、開口OP7、及び開口OP8内に形成されて、ドープ隔離構造110Cの表面S116aと接触し、導電特徴176がドープ隔離構造110Cを通じて、相互接続120に電気的に接続されるようにする。例えば、導電特徴176は、開口OP10、開口OP7、及び開口OP8を埋める。例えば、図71に示されるとおり、導電特徴176の上面(ラベル無し)は、誘電層156の上面S156ど略同一面の表面と考えられる。いくつかの実施形態において、導電特徴176は、各々、開口OP8及びOP7における第1の部分176aと、開口OP10における第2の部分176bと、を備える。例えば、図71に示されるとおり、導電特徴176は、ドープ隔離構造110Cが相互接続120に電気的に接続された状態で、第2の部分176bを物理的及び電気的に接続することで、相互接続120に電気的に接続され、また導電特徴176は、第1の部分176aと導電特徴178とを物理的及び電気的に接続することにより、導電特徴178に電気的に接続される。導電特徴176は、導電構造176と称されてもよく、各第1の部分176aは、導電体と称されてもよく、各第2の部分176bは、導電体の導電ビアと称されてもよい。図71に示されるとおり、導電構造176は、各々、ステップ形態の輪郭(又は外形)を有し、横断面図における導電構造176の側壁S176は、各々、例えば、曲線である(例えば、直線ではなく、屈曲を伴う)。或いは、導電構造176は、非ステップ形態の輪郭(又は外形)を有してもよく、横断面図における導電構造176の側壁S176は、各々、直線である(例えば、屈曲を伴わない)。
いくつかの実施形態において、導電特徴178は、誘電層156の上面S156上に形成され、導電格子168及び導電構造176と接触するようにし、導電特徴178が、導電格子168及び導電構造176と電気的に接続されるようにする。換言すると、導電特徴178は、導電格子168と導電構造176との間に延設された誘電層156上の連続導電層であり、両者間に適正な電気的接続を提供する。例えば、導電特徴178の底面(ラベル無し)は、誘電層156の上面S156と略同一面の表面と考えられる。導電特徴178は、導電パターン178と称されてもよい。
導電特徴168、176、及び178を含む導電特徴は、これに限定されるものでないが、図69及び図70Aに描かれた構造を被覆するように、底面S100bに沿って、半導体基板100Cの上方に導電材層(図示せず)を形成することによって形成されてもよく、導電材層は、トレンチOP6及びOP9と、開口OP7、OP8、及びOP10の内部に向かって延設され、導電特徴168、導電特徴176、及び導電特徴178を同時に形成する。導電材層は、図9及び図10において説明した導電材層160mの材料と同一又は同様であってもよいので、簡潔さのため、ここでは繰り返さない。一例として、導電材層は、Al製である。図71に示されるとおり、導電格子168は、例えば、導電構造176及び導電パターン178を通じて、相互接続120に電気的に接続される。いくつかの実施形態において、導電特徴168、176、及び178を含む導電特徴の形成には、さらに、CMPプロセス等の平坦化プロセスが含まれてもよく、導電特徴178が、略平面的な上面を有するように形成されるようにする。平坦化の後、洗浄プロセスが任意で実施されてもよく、例えば、平坦化プロセスで生じた残余物を洗浄及び除去してもよい。しかしながら、本開示は、これに限定されるものでなく、平坦化プロセスは、他の任意の好適な方法で実施されてもよい。
本開示において、導電特徴168、176、及び178を含む導電特徴が、図71に示されるとおり、非共形層として形成されたが、導電特徴168、176、及び178を含む導電特徴は、ドープ隔離構造110Cと、導電特徴168、176、及び178を含む導電特徴との間の電気的接続が適正に確立される限り、共形層の形態で形成されてもよい。本開示は、これに限定されるものでない。
図73及び図74を参照すると、いくつかの実施形態において、誘電層158は、図71及び図72Aに描かれた構造上に形成され、導電パターン178を被覆する。誘電層158は、高程度の平面性と平坦性を有した保護層と称されてもよく、これは、後に形成される層/要素(例えば、カラーフィルタ、マイクロレンズ等)にとって有利である。誘電層158の詳細については図21及び図22において説明したので、簡潔さのため、ここでは繰り返さない。図75を参照すると、いくつかの実施形態において、光フィルタ層180(複数のカラーフィルタ182、184、及び186を含む)とマクロレンズ190とが、アクティブ領域AR内において、誘電層158の上、且つ、隔離構造GS’の上方に配置される。光フィルタ層180とマイクロレンズ190の詳細については、図23で説明したので、簡潔さのため、ここでは繰り返さない。いくつかの実施形態において、上述の図24において説明した上述の製造プロセを実施して、図75に描かれた(半導体)イメージセンサ装置5000aを得ることができる、隔離構造GS’により、感光素子PDの隔離がより良好になるため、イメージセンサ10の性能が向上する。さらに、イメージセンサ装置5000aの(Z方向における)全体的な厚さがさらに薄型化される。
いくつかの他の実施形態において、導電パターン178が省略されてもよい。図76の(半導体)イメージセンサ装置5000bを参照のこと。トレンチOP6、OP9、及び開口OP7、OP8が互いに空間的に連通するため、導電格子168及び導電構造176は、電気的接続及び物理的接続で接続される。このような構成により、隔離構造GS’の隔離能力を低下させることなく、イメージセンサ装置(例えば、5000b)の全体的な厚さがさらに薄型化される。
以上の実施形態の横断面図において、トレンチOP1、及び/又は、開口OP2、OP3、OP4、OP5、OP8、OP10は、垂直且つ平面的な側壁を有するものとして示されたが、トレンチOP1、及び/又は、開口OP2、OP3、OP4、OP5、OP8、OP10は、独立して、傾斜した平面的な側壁を有することができる。一方、以上の実施形態に横断面図において、トレンチOP6、OP9、及び/又は、開口OP7は、傾斜した平面的な側壁を有するものとして示されたが、トレンチOP6、OP9、及び/又は、開口OP7は、独立して、垂直且つ平面的な側壁を有することができる。本開示は、これに限定されるものでない。
図77は、本開示に係る、半導体イメージセンサ装置に含まれるイメージセンサを形成するのに使用されてもよい方法6000のフローチャートを表している。方法6000は、工程6002で開始し、基板の第1の側に配置された相互接続と、第1の側に沿って基板内に設けられる誘電隔離体と、第1の側に沿って、基板内に設けられる感光素子を有した画素と、を備えた基板を提供する。図6の横断面図が例を提供している。
工程6004は、基板の第2の側に沿って、基板内に複数のトレンチを形成する工程である。図7の横断面図が例を提供している。
工程6006は、トレンチ内に、第1の誘電構造を形成する工程である。図9の横断面図が例を提供している。
工程6008は、トレンチ内に導電格子を形成して、第1の誘電構造と導電格子とを有する隔離構造を形成する工程である。図11の横断面図が例を提供している。
工程6010は、基板内において、トレンチの一方側の隣の第2の側に沿って、且つ、誘電隔離体の上方に、複数の開口を形成する工程である。図13の横断面図が例を提供している。
工程6012は、前記開口内に第2の誘電構造を形成する工程である。図15の横断面図と、図29の横断面図とが、種々の例を提供している。
工程6014は、前記開口内の第2の誘電構造と誘電隔離体とを貫通して貫通孔を形成する工程である。図17の横断面図と、図31の横断面図とが、種々の例を提供している。
工程6016は、前記開口及び貫通孔内に導電構造を形成して、相互接続と接触するようにする工程である。図19の横断面図と、図33の横断面図とが、種々の例を提供している。
工程6018は、基板の上方において、画素の上方に、カラーフィルタを形成する工程である。図23の横断面図と、図38の横断面図とが、種々の例を提供している。
工程6020は、カラーフィルタの上に重ねてマイクロレンズを配置する工程である。図23の横断面図と、図38の横断面図とが、種々の例を提供している。
図78は、本開示に係る、半導体イメージセンサ装置に含まれるイメージセンサを形成するのに使用されてもよい方法7000のフローチャートである。方法7000は、工程7002で開始し、基板の第1の側に配置された相互接続と、第1の側に沿って基板内に設けられたドープ隔離体と、第1の側に沿って、基板内に感光素子を有する画素と、を備えた基板を提供する。図43の横断面図が例を提供している。
工程7004は、基板の第2の側に沿って、基板内に複数のトレンチを形成する工程である。図45の横断面図が例を提供している。
工程7006は、トレンチ内に第1の誘電構造を形成する工程である。図45の横断面図が例を提供している。
工程7008は、トレンチ内に導電格子を形成して、第1の誘電構造と導電格子とを有する隔離構造を形成する工程である。図45の横断面図が例を提供している。
工程7010は、トレンチの側の隣の第2の側に沿って、且つ、ドープ隔離体の上方において、基板内に複数の開口を形成する工程である。図47の横断面図が例を提供している。
工程7012は、前記開口内に第2の誘電構造を形成する工程である。図49の横断面図が例を提供している。
工程7014は、前記開口内の第2の誘電構造を貫通し、ドープ隔離体を露出する貫通孔を形成する工程であり、ここでドープ隔離体は、相互接続に接触する。図49の横断面図が例を提供している。
工程7016は、前記開口及び貫通孔内に導電構造を形成し、ドープ隔離体と接触させる工程である。図49の横断面図が例を提供している。
工程7018は、基板の上方、且つ、画素の上方にカラーフィルタを形成する工程である。図49の横断面図が例を提供している。
工程7020は、カラーフィルタ上に重ねてマイクロレンズを配置する工程である。図49の横断面図が例を提供している。
図79は、本開示に係る、半導体イメージセンサ装置に含まれるイメージセンサを形成するのに使用されてもよい方法8000のフローチャートを表している。方法8000は、工程8002から開始し、基板の第1の側に配置された相互接続と、第一の側に沿って、基板内に設けられたドープ隔離体と、第1の側に沿って、基板内に感光素子を有する画素と、を備えた基板を提供する。図63の断面図が例を提供している。
工程8004は、基板の第2の側に沿って、基板内に、複数のトレンチと複数の開口とを形成する工程であり、この開口が、ドープ隔離体を露出する。図65の横断面図が例を提供している。
工程8006は、前記トレンチ内に第1の誘電構造と、前記開口内に第2の誘電構造とを形成する工程である。図67の横断面図が例を提供している。
工程8008は、前記開口内の第2の誘電構造を貫通し、ドープ隔離体を露出させる複数の貫通孔を形成する工程であり、ここでドープ隔離体は、相互接続と接触する。図69の横断面図が例を提供している。
工程8010は、トレンチ内に導電格子を形成して、第1の誘電構造と導電格子とを有しする隔離構造を形成し、ドープ隔離体と接触するように前記開口及び貫通孔内に導電構造を形成する工程である。図71の横断面図が例を提供している。
工程8012は、基板の上方、且つ、画素の上方にカラーフィルタを形成する工程である。図75の横断面図が例を提供している。
工程8014は、カラーフィルタの上に重なるようにマイクロレンズを配置する工程である。図75の横断面図が例を提供している。
図77及び図79の方法6000、7000、及び8000について、本明細書中では、一連の工程又はイベントとして図示及び説明したが、このような工程又はイベントの図示の順序は、限定的な意味であると解釈されてはならないことが理解されるであろう。例えば、いくつかの工程が、異なる順に発生してもよく、及び/又は、本明細書中に図示、及び/又は、説明したものとは違う、他の工程又はイベントと同時に発生してもよい。さらに、本明細書の説明の1つ以上の態様又は実施形態を実現するために、図示のすべての工程が必要とされなくてもよく、本明細書に描かれた工程のうちの1つ以上が、1つ以上の別の工程、及び/又は、フェーズで実施されてもよい。
いくつかの実施形態において、イメージセンサは、画素と、隔離構造と、を備える。画素は、感光領域と、感光領域の隣の回路領域と、を備える。隔離構造は、画素の上方に配置され、隔離構造は、導電格子と、導電格子の側壁を被覆する誘電構造とを備え、隔離構造は、感光領域の周辺領域を包囲する。いくつかの実施形態において、前記隔離構造は、前記回路領域と重なり、前記隔離構造は、前記画素から電気的に隔離される。いくつかの実施形態において、前記誘電構造はさらに、前記導電格子の底面を被覆する。いくつかの実施形態において、前記導電格子の材料には、金属、又は、金属合金が含まれる。いくつかの実施形態[5]において、前記イメージセンサは、基板と導電構造とをさらに備え、前記基板は、アクティブ領域と、前記アクティブ領域の隣の周辺領域と、を有し、前記画素及び前記隔離構造は、前記基板内の、前記アクティブ領域内部に配置され、前記導電構造は、前記基板内において、前記周辺領域内部に配置され、前記導電格子が前記導電構造に電気的に接続される。そのような実施形態[5]のうちのいくつかの実施形態において、前記導電格子は、前記誘電構造によって、前記基板から分離される。そのような実施形態[5]のうちのいくつかの実施形態において、前記導電格子の材料と、前記導電構造の材料とは、同一である。そのような実施形態[5]のうちのいくつかの実施形態において、前記導電格子と、前記導電構造とは、一体である。そのような実施形態[5]のうちのいくつかの実施形態[9]において、前記イメージセンサは、導電パターンをさらに備え、前記導電パターンは、前記基板上において、前記周辺領域内に配置され、前記導電格子は、前記導電パターンを通じて、前記導電構造に電気的に接続される。そのような実施形態[9]のうちのいくつかの実施形態[10]において、前記導電構造の材料と、前記導電パターンの材料とは、同一である。そのような実施形態[10]のうちのいくつかの実施形態[11]において、前記導電格子の材料と、前記導電構造の材料とは、同一である。そのような実施形態[9]のうちのいくつかの実施形態[12]において、前記導電格子と、前記導電パターンと、前記導電構造とは、一体である。いくつかの実施形態において、前記導電格子は、共形層の形態であるか、又は固体バルクの形態である。
いくつかの実施形態によると、半導体装置は、基板と、相互接続と、フォトダイオードアレイと、隔離構造と、複数の導電構造と、を備える。基板は、第1の側と、第1の側の反対の第2の側と、を有する。相互接続は、第1の側に配置される。フォトダイオードアレイは、基板において、基板のアクティブ領域内に配置され、相互接続と電気的に接続される。隔離構造は、基板の第2の側から、基板におけるアクティブ領域内のある位置まで延設され、フォトダイオードアレイが、隔離構造によって包囲され、またこれによって離間され、隔離構造は、導電格子を備える。複数の導電構造が、基板において、基板の周辺領域内に配置され、相互接続に電気的に接続され、導電格子は、導電構造を通じて相互接続に電気的に接続され、フォトダイオードアレイから電気的に隔離される。いくつかの実施形態において、前記隔離構造の一部は、前記基板と前記相互接続との積層方向に直交する方向に沿って、前記基板内の前記アクティブ領域内で、フォトダイオードアレイの2つの隣接するフォトダイオードの間に配置される。いくつかの実施形態において、前記半導体装置は、複数の第1の隔離体、または複数の第2の隔離体をさらに備え、前記複数の第1の隔離体は、前記基板内において、前記第1の側に配置され、前記相互接続から電気的に隔離され、前記導電構造は、前記相互接続に電気的に接続するため、前記第1の隔離体を貫通し、前記複数の第2の隔離体は、前記基板内において、前記第1の側に配置され、前記相互接続に電気的に接続され、前記導電構造は、前記相互接続に電気的に接続するため、前記第2の隔離体と接触し、前記第2の隔離体は、ドープ領域を備える。
いくつかの実施形態によると、イメージセンサの製造方法は、以下のステップを備える。すなわち、基板において、基板の第1の側に画素を形成する工程であって、画素が、感光領域と、感光領域の隣の回路領域とを備える工程と、基板の第1の側の反対の第2の側において、基板を窪ませて、回路領域上方に、且つ、感光領域を包囲して格子メッシュ空洞を形成する工程と、格子メッシュ空洞内に第1の誘電構造を配置する工程と、格子メッシュ空洞内の第1の誘電構造上に導電格子を形成して、第1の誘電構造と導電格子とを備えた隔離構造を形成する工程と、基板の第2の側において、基板を窪ませて、格子メッシュ空洞の側の隣に複数の開口を形成する工程と、前記開口内に第2の誘電構造を配置する工程と、前記開口内の第2の誘電構造上に複数の導電構造を形成する工程と、を備え、導電構造は、隔離構造の導電格子に電気的に接続され、隔離構造は、画素から電気的に隔離される。いくつかの実施形態において、前記格子メッシュ空洞及び前記開口は、同時に形成され、且つ空間的に連通し、前記第1の誘電構造及び前記第2の誘電構造は、同時に形成され、前記導電格子及び前記導電構造は、同時に形成される。いくつかの実施形態において、前記方法は、前記基板上の、前記第1の側に、相互接続を形成する工程と、前記基板内において、前記第1の側に、複数の誘電隔離体を形成する工程と、前記誘電隔離体をパターニングして、前記開口と空間的に連通した複数の貫通孔を形成する工程と、をさらに備え、前記導電構造は、前記相互接続及び前記導電格子を電気的に接続するために、前記貫通孔内にさらに延設されるように形成される。いくつかの実施形態において、前記方法は、前記基板上において、前記第1の側に、相互接続を形成する工程と、前記基板内において、前記第1の側に、複数のドープ隔離体を形成する工程と、をさらに備え、前記開口は、前記ドープ隔離体の表面を露出するように形成され、前記導電構造は、前記ドープ隔離体を通じて、前記相互接続及び前記導電格子を電気的に接続するため、前記ドープ隔離体と接触するように形成される。
以上、当業者が本開示の態様をよりよく理解するように、いくつかの実施形態の特徴の概要を示した。当業者は、本明細書において紹介した実施形態と同一の目的を実施し、及び/又は、同一の効果を達成するために、他のプロセス及び構造を設計又は変更する際の基礎として、本開示を容易に使用してもよいことを理解しなければならない。当業者は、そのような同等の構成も、本開示の要旨及び範囲から逸脱するものでなく、また本開示の要旨及び範囲から逸脱することなく、種々の変更、置き換え、及び代替がなされてよいことも認識しなければならない。
本開示の隔離構造を備えたイメージセンサ及びその製造方法は、家電製品、機械部品、オフィス用品、電子部品等、異なる分野や、自動車産業において適用されてもよく、デジタルスチルカメラ又はモバイルフォンカメラの用途等、種々の用途において広範に使用可能である。
参照符号の説明
10:イメージセンサ
11:画素
11A:感光領域
11B:記憶素子領域
11C:回路領域
12:アクセス回路
13:金属間ライン
20:集積回路
21:読み出し回路部品
22:信号処理回路部品
23:出力回路部品
50:キャリア
52:剥離層
100a、100、100A、100B、100C:半導体基板
102a、102b:第1のドープ領域
104a、104b:第2のドープ領域
106:フォトダイオード
110、110A、110B、110C:第2の隔離体
112、112a、114、114a、116、116a:ドープ領域
120:相互接続
122、150、152、154、156、156B、156m、158:誘電層
124:導電ライン
126:ビア/コンタクト
160:導電格子
160m:導電材層
162、168、170、170A、172、172A、174、176、178:導電特徴
166:領域
170a、172a、176a:第1の部分
170b、170b’、172b、172b’、176b:第2の部分
182、184、186:カラーフィルタ
190:マイクロレンズ
1000a、1000b、1000c、1000d、2000a、2000c、2000c、2000d、3000a、3000c、3000c、3000d、4000a、4000c、4000c、4000d、5000a、5000b:イメージセンサ装置
6000、7000、8000:方法
6002、6004、6006、6008、6010、6012、6014、6016、6018、6020、7002、7004、7006、7008、7010、7012、7014、7016、7018、7020、8002、8004、8006、8008、8010、8012、8014:工程
A-A、B-B:線
AR:アクティブ領域
C、D、E、F、G、H、I:ダッシュ線エリア
D1、D2、D3、D4、D5、D6、D7:幅
D10:サイズ
DC:駆動回路
DI1、DI2:誘電構造
FD:浮遊拡散
GS、GS’:隔離構造
HA:正孔
ICS、ICS’、ICS”:初期集積回路構造
L:入射光
Nb:負のバイアス
OP2、OP3、OP4、OP5、OP8、OP10:開口
OP1、OP6、OP9:トレンチ
PD:感光素子
BE1、BE2、PE1、PE2、PE3、PE4、PE5、PE6:パターニングプロセス
Pixоut:画像データ
PR:周辺領域
RS:行選択トランジスタ
RST:リセットトランジスタ
S100t、S110t、S116a、S120t、S122t、S124t、S150、S152、S154、S156、S156B、S160:上面
S100b、S110b:底面
S110、S116、S124:表面
S156i、S156Bi、S170i、S170Ai:内側壁
S170о、S170Aо:外側壁
S172、S172A、S176:側壁
SD:記憶素子
SF:ソースフォロワトランジスタ
SG:記憶ゲート電極
SHG:シャッタゲートトランジスタ
T1、T6、T7:高さ
T100a、T100、T110A、T110B、T110C、T150、T152、T154、T156、T156h、T156v、T158:厚さ
TG1:第1のトランスファゲートトランジスタ
TG2:第2のトランスファゲートトランジスタ
Vaa、Vpix:電圧
X、Y、Z:方向

Claims (9)

  1. イメージセンサであって、
    アクティブ領域と、前記アクティブ領域の隣の周辺領域と、を有し、且つ、第1の側、及び前記第1の側の反対の第2の側を有する、基板と、
    前記基板内の前記アクティブ領域内に配置され、感光領域、及び前記感光領域の隣の回路領域を有する画素と、
    前記基板内の前記アクティブ領域内に配置され、前記アクティブ領域内において前記基板の前記第2の側から前記基板中のある位置まで延設され、前記感光領域の周辺領域を包囲し、導電格子と、前記導電格子の側壁を被覆する誘電構造と、を有する、隔離構造と、を備え、
    前記基板内において前記周辺領域内に配置された導電構造であって、前記導電格子が前記導電構造に電気的に接続された、前記導電構造と、
    前記基板内において前記第1の側に配置された、隔離体A又は隔離体Bであって、前記導電構造が、前記隔離体Aを貫通するか、あるいは、前記隔離体Bに接触し、前記隔離体Bは、ドープ領域を有する、隔離体A又は隔離体Bと、
    備えるイメージセンサ。
  2. 前記隔離構造は、前記回路領域と上面視で重なり、前記隔離構造は、前記画素から電気的に隔離される請求項1に記載のイメージセンサ。
  3. 前記基板上において、前記周辺領域内に配置される導電パターンであって、前記導電格子が、前記導電パターンを通じて、前記導電構造に電気的に接続される前記導電パターンをさらに備える請求項1又は2に記載のイメージセンサ。
  4. 前記導電構造の材料と、前記導電パターンの材料とは、同一である請求項に記載のイメージセンサ。
  5. 半導体装置であって、
    第1の側、及び前記第1の側の反対の第2の側を有する基板と、
    前記第1の側に配置された相互接続と、
    前記基板において、前記基板のアクティブ領域内部に配置され、前記相互接続と電気的に隔離されたフォトダイオードアレイと、
    前記アクティブ領域内において、前記基板の前記第2の側から前記基板中のある位置まで延設された隔離構造であって、前記フォトダイオードアレイが、前記隔離構造に包囲され、且つ前記隔離構造から離間し、前記隔離構造は、導電格子を備える前記隔離構造と、
    前記基板内において、前記基板の周辺領域内部に配置され、前記相互接続と電気的に接続される複数の導電構造であって、前記導電格子が、前記導電構造を通じて、前記相互接続に電気的に接続され、前記フォトダイオードアレイから電気的に隔離される前記複数の導電構造と、を備え
    複数の隔離体Aであって、前記基板内において前記第1の側に配置され、前記相互接続から電気的に隔離され、前記導電構造が、前記相互接続に電気的に接続するため、前記隔離体Aを貫通する、前記複数の隔離体A、又は、
    複数の隔離体Bであって、前記基板内において前記第1の側に配置され、前記相互接続に電気的に接続され、前記導電構造が、前記相互接続に電気的に接続するため、前記隔離体Bに接触し、ドープ領域を有する、前記複数の隔離体B、をさらに備える半導体装置。
  6. 複数の導電パターンであって、前記基板上において前記周辺領域内に配置され、前記導電格子が、前記導電パターンを通じて、前記導電構造に電気的に接続される、複数の導電パターンをさらに備える、請求項5に記載の半導体装置。
  7. 前記導電構造の材料と、前記導電パターンの材料とは同一である、請求項6に記載の半導体装置。
  8. イメージセンサの製造方法であって、
    基板内において、前記基板の第1の側に画素を形成する工程であって、前記画素が、感光領域、及び前記感光領域の隣の回路領域を備える工程と、
    前記基板の前記第1の側の反対の第2の側に、前記基板を窪ませて、前記回路領域の上方に、前記感光領域を包囲するように、格子メッシュ空洞を形成する工程と、
    前記格子メッシュ空洞において、第1の誘電構造を配置する工程と、
    前記第1の誘電構造上において、前記格子メッシュ空洞内に導電格子を形成し、前記第1の誘電構造、及び前記導電格子を備える隔離構造を形成する工程と、
    前記基板の前記第2の側に、前記基板を窪ませて、格子メッシュ空洞の側の隣に複数の開口を形成する工程と、
    前記開口内に第2の誘電構造を配置する工程と、
    前記開口内の前記第2の誘電構造上に、複数の導電構造を形成する工程と、を備え、前記導電構造は、前記隔離構造の前記導電格子に電気的に接続され、前記隔離構造は、前記画素から電気的に隔離され、
    前記基板上の、前記第1の側に、相互接続を形成する工程と、
    前記基板内において、前記第1の側に、複数の誘電隔離体を形成する工程と、
    前記誘電隔離体をパターニングして、前記開口と空間的に連通した複数の貫通孔を形成する工程と、をさらに備え、
    前記導電構造は、前記相互接続及び前記導電格子を電気的に接続するために、前記貫通孔内にさらに延設されるように形成される、方法。
  9. イメージセンサの製造方法であって、
    基板内において、前記基板の第1の側に画素を形成する工程であって、前記画素が、感光領域、及び前記感光領域の隣の回路領域を備える工程と、
    前記基板の前記第1の側の反対の第2の側に、前記基板を窪ませて、前記回路領域の上方に、前記感光領域を包囲するように、格子メッシュ空洞を形成する工程と、
    前記格子メッシュ空洞において、第1の誘電構造を配置する工程と、
    前記第1の誘電構造上において、前記格子メッシュ空洞内に導電格子を形成し、前記第1の誘電構造、及び前記導電格子を備える隔離構造を形成する工程と、
    前記基板の前記第2の側に、前記基板を窪ませて、格子メッシュ空洞の側の隣に複数の開口を形成する工程と、
    前記開口内に第2の誘電構造を配置する工程と、
    前記開口内の前記第2の誘電構造上に、複数の導電構造を形成する工程と、を備え、前記導電構造は、前記隔離構造の前記導電格子に電気的に接続され、前記隔離構造は、前記画素から電気的に隔離され、
    前記基板上において、前記第1の側に、相互接続を形成する工程と、
    前記基板内において、前記第1の側に、複数のドープ隔離体を形成する工程と、をさらに備え、
    前記開口は、前記ドープ隔離体の表面を露出するように形成され、前記導電構造は、前記ドープ隔離体を通じて、前記相互接続及び前記導電格子を電気的に接続するため、前記ドープ隔離体と接触するように形成される、方法。
JP2022004093A 2021-01-15 2022-01-14 イメージセンサ及びその製造方法 Active JP7350106B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163137871P 2021-01-15 2021-01-15
US63/137,871 2021-01-15
US17/327,996 2021-05-24
US17/327,996 US11908878B2 (en) 2021-01-15 2021-05-24 Image sensor and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2022109893A JP2022109893A (ja) 2022-07-28
JP7350106B2 true JP7350106B2 (ja) 2023-09-25

Family

ID=81409581

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022004093A Active JP7350106B2 (ja) 2021-01-15 2022-01-14 イメージセンサ及びその製造方法

Country Status (6)

Country Link
US (2) US11908878B2 (ja)
JP (1) JP7350106B2 (ja)
KR (1) KR20220103606A (ja)
CN (1) CN114464637A (ja)
DE (1) DE102021113564A1 (ja)
TW (1) TWI817161B (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11908878B2 (en) * 2021-01-15 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor and manufacturing method thereof
US11557620B2 (en) * 2021-03-30 2023-01-17 Omnivision Technologies, Inc. Metal grid structure integrated with deep trench isolation structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013175494A (ja) 2011-03-02 2013-09-05 Sony Corp 固体撮像装置、固体撮像装置の製造方法及び電子機器
JP2015012043A (ja) 2013-06-26 2015-01-19 株式会社東芝 撮像装置およびその製造方法
US20150255495A1 (en) 2014-03-07 2015-09-10 Samsung Electronics Co., Ltd. Image sensor and method of manufacturing the same
US20170170229A1 (en) 2015-12-09 2017-06-15 Samsung Electronics Co., Ltd. Image sensor and method of manufacturing the same
JP2017120851A (ja) 2015-12-28 2017-07-06 株式会社東芝 半導体装置および半導体装置の製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI521685B (zh) 2011-09-21 2016-02-11 聯華電子股份有限公司 影像感測器及其製作方法
US9691804B2 (en) * 2015-04-17 2017-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Image sensing device and manufacturing method thereof
US9728570B2 (en) * 2015-11-09 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench isolation fabrication for BSI image sensor
US9806117B2 (en) * 2016-03-15 2017-10-31 Omnivision Technologies, Inc. Biased deep trench isolation
US9985072B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS image sensor with dual damascene grid design having absorption enhancement structure
JP7038494B2 (ja) * 2017-06-15 2022-03-18 ルネサスエレクトロニクス株式会社 固体撮像素子
US10510788B2 (en) * 2017-10-31 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor image sensor
US11587968B2 (en) * 2017-11-09 2023-02-21 Sony Semiconductor Solutions Corporation Solid-state imaging device and electronic apparatus
US11552119B2 (en) * 2017-11-09 2023-01-10 Sony Semiconductor Solutions Corporation Solid-state imaging device and electronic equipment
US11393867B2 (en) 2017-12-06 2022-07-19 Facebook Technologies, Llc Multi-photodiode pixel cell
KR102589608B1 (ko) * 2018-10-22 2023-10-16 삼성전자주식회사 이미지 센서 및 이의 제조 방법
TWI679755B (zh) 2018-10-23 2019-12-11 力晶積成電子製造股份有限公司 用於全局式快門的互補式金屬氧化物半導體影像感測器
US11908878B2 (en) * 2021-01-15 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor and manufacturing method thereof
US11557620B2 (en) * 2021-03-30 2023-01-17 Omnivision Technologies, Inc. Metal grid structure integrated with deep trench isolation structure
US12062679B2 (en) * 2021-04-27 2024-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Backside structure for image sensor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013175494A (ja) 2011-03-02 2013-09-05 Sony Corp 固体撮像装置、固体撮像装置の製造方法及び電子機器
JP2015012043A (ja) 2013-06-26 2015-01-19 株式会社東芝 撮像装置およびその製造方法
US20150255495A1 (en) 2014-03-07 2015-09-10 Samsung Electronics Co., Ltd. Image sensor and method of manufacturing the same
US20170170229A1 (en) 2015-12-09 2017-06-15 Samsung Electronics Co., Ltd. Image sensor and method of manufacturing the same
JP2017120851A (ja) 2015-12-28 2017-07-06 株式会社東芝 半導体装置および半導体装置の製造方法

Also Published As

Publication number Publication date
US20230395631A1 (en) 2023-12-07
CN114464637A (zh) 2022-05-10
US20220231058A1 (en) 2022-07-21
TWI817161B (zh) 2023-10-01
DE102021113564A1 (de) 2022-07-21
TW202230765A (zh) 2022-08-01
US11908878B2 (en) 2024-02-20
JP2022109893A (ja) 2022-07-28
KR20220103606A (ko) 2022-07-22

Similar Documents

Publication Publication Date Title
US10062720B2 (en) Deep trench isolation fabrication for BSI image sensor
US11817470B2 (en) Stacked substrate structure with inter-tier interconnection
KR102456271B1 (ko) 후면 정렬 마크가 있는 bsi 칩
US9768214B2 (en) Structure of dielectric grid for a semiconductor device
US12062679B2 (en) Backside structure for image sensor
JP7350106B2 (ja) イメージセンサ及びその製造方法
KR20130120976A (ko) 이미지 센서 소자 및 그 제조 방법
US20230261023A1 (en) Image sensor device
US9153620B2 (en) Method of fabricating a metal grid for semiconductor device
JP7444906B2 (ja) イメージセンサを備えた半導体素子及びその形成方法
TW202042383A (zh) 影像感測器及其形成方法
CN220021113U (zh) 影像传感器
US20240079423A1 (en) Image sensor and method of manufacturing the same
US20230261021A1 (en) Image sensor having a gate dielectric structure for improved device scaling
JP2024012088A (ja) 小画素設計のための誘電体構造
KR20220151103A (ko) 크로스 토크 감소를 위한 딥 트렌치 격리부

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220114

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230307

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230529

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230822

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230912

R150 Certificate of patent or registration of utility model

Ref document number: 7350106

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150