DE102020133243A1 - Skalierbare und interoperable phy-freie die-zu-die-ea-lösung - Google Patents

Skalierbare und interoperable phy-freie die-zu-die-ea-lösung Download PDF

Info

Publication number
DE102020133243A1
DE102020133243A1 DE102020133243.2A DE102020133243A DE102020133243A1 DE 102020133243 A1 DE102020133243 A1 DE 102020133243A1 DE 102020133243 A DE102020133243 A DE 102020133243A DE 102020133243 A1 DE102020133243 A1 DE 102020133243A1
Authority
DE
Germany
Prior art keywords
die
bump
bumps
card
bump card
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020133243.2A
Other languages
English (en)
Inventor
Zhiguo Qian
Gerald Pasdast
Juan ZENG
Peipei WANG
Ahmad Siddiqui
Lakshmipriya Seshan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE102020133243A1 publication Critical patent/DE102020133243A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1301Shape
    • H01L2224/13016Shape in side view
    • H01L2224/13018Shape in side view comprising protrusions or indentations
    • H01L2224/13019Shape in side view comprising protrusions or indentations at the bonding interface of the bump connector, i.e. on the surface of the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13023Disposition the whole bump connector protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14133Square or rectangular array with a staggered arrangement, e.g. depopulated array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5381Crossover interconnections, e.g. bridge stepovers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Wire Bonding (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Structures For Mounting Electric Components On Printed Circuit Boards (AREA)

Abstract

Hier offenbarte Ausführungsformen beinhalten Mehrfach-Die-Gehäuse mit Zwischenverbindungen zwischen den Dies. Bei einer Ausführungsform umfasst ein elektronisches Gehäuse ein Gehäusesubstrat und einen ersten Die über dem Gehäusesubstrat. Bei einer Ausführungsform umfasst der erste Die eine erste EA-Bump-Karte, wobei Bumps der ersten EA-Bump-Karte ein erstes Rastermaß aufweisen. Bei einer Ausführungsform umfasst das elektronische Gehäuse ferner einen zweiten Die über dem Gehäusesubstrat. Bei einer Ausführungsform umfasst der zweite Die eine zweite EA-Bump-Karte, wobei Bumps der zweiten EA-Bump-Karte ein zweites Rastermaß aufweisen, das verschieden von dem ersten Rastermaß ist. Bei einer Ausführungsform umfasst das elektronische Gehäuse ferner Zwischenverbindungen zwischen der ersten EA-Bump-Karte und der zweiten EA-Bump-Karte.

Description

  • TECHNISCHES GEBIET
  • Ausführungsformen der vorliegenden Offenbarung betreffen Halbleitervorrichtungen und insbesondere elektronische Gehäuse mit einer PHY-freien Die-zu-Die-EA-Zwischenverbindung.
  • HINTERGRUND
  • Um eine erweiterte Funktionalität, verbesserte Leistungsfähigkeit und verbesserte Ausbeute bei fortschrittlichen Verarbeitungsknoten bereitzustellen, ist die Die-Disaggregation in mehrere Chiplets eine zunehmende Entwicklung in der Halbleiterfertigungsindustrie. Die-Disaggregation erfordert, dass die einzelnen Chiplets zur Kommunikation miteinander durch Eingabe/Ausgabe(E/A)-Bumps in der Lage sind. In Abhängigkeit von der benötigten Zwischenverbindungsdichte und Bump-Rastermaßen können Kanäle mit verschiedenen Architekturen implementiert werden. Zum Beispiel nutzen C4-Bumps Kanäle in einem Standardgehäusesubstrat. Bei fortschrittlicheren Technologieknoten können Mikro-Bumps in Verbindung mit Kanälen verwendet werden, die in einem Eingebettete-Brücke-Die implementiert sind.
  • Derzeit werden EAs mit einer physischen Schicht (PHY) gestaltet. Dies führt dazu, dass ein Fest-IP (HIP) für jedes EA-Feld individuell gestaltet werden muss. Von daher ist ein erheblicher Schaltkreisgestaltungsaufwand mit der Gestaltung unterschiedlicher Chiplets assoziiert. Der Bedarf an einem individuell angepassten HIP in PHY-basierten Gestaltungen beschränkt auch die Portabilität, die für eine einfache Skalierung notwendig ist, um verschiedene Kapselungstechniken zu unterstützen. Außerdem besteht ein Mangel an Interoperabilität zwischen PHY-basierten Gestaltungen, die verschiedene Bump-Rastermaße haben.
  • Figurenliste
    • 1A ist eine Draufsichtveranschaulichung eines Teils eines Die mit in einer PHY-Schicht implementierten EA.
    • 1B ist eine Draufsichtveranschaulichung eines Teils eines Die mit in einer PHY-freien Schicht implementierten EA gemäß einer Ausführungsform.
    • 2A ist eine Draufsichtveranschaulichung einer EA-Bump-Karte für ein Sendergebiet und ein Empfängergebiet mit einem ersten Bump-Rastermaß gemäß einer Ausführungsform.
    • 2B ist eine Draufsichtveranschaulichung einer EA-Bump-Karte für ein Sendergebiet und ein Empfängergebiet mit einem zweiten Bump-Rastermaß gemäß einer Ausführungsform.
    • 2C ist eine Draufsichtveranschaulichung einer EA-Bump-Karte für ein Sendergebiet und ein Empfängergebiet mit einem dritten Bump-Rastermaß gemäß einer Ausführungsform.
    • 3A ist eine Querschnittsveranschaulichung eines elektronischen Gehäuses mit Dies, die über eine Brücke in dem elektronischen Gehäuse hinweg kommunikativ gekoppelt sind, gemäß einer Ausführungsform.
    • 3B ist eine schematische Draufsichtveranschaulichung, die die Kanäle über die Brücke zwischen EA-Bump-Karten mit demselben Bump-Rastermaß hinweg gemäß einer Ausführungsform darstellt.
    • 3C ist eine schematische Draufsichtveranschaulichung, die die Kanäle über die Brücke zwischen EA-Bump-Karten mit unterschiedlichen Rastermaßen hinweg gemäß einer Ausführungsform darstellt.
    • 3D ist eine Querschnittsveranschaulichung eines elektronischen Gehäuses mit Dies, die über ein Gehäusesubstrat hinweg kommunikativ gekoppelt sind, gemäß einer Ausführungsform.
    • 3E ist eine schematische Draufsichtveranschaulichung, die die Kanäle über das Gehäusesubstrat zwischen EA-Bump-Karten mit unterschiedlichen Rastermaßen hinweg gemäß einer Ausführungsform darstellt.
    • 4A ist eine Draufsicht eines Paars von Bump-Karten und eine Querschnittsveranschaulichung der Brücke, die Kanäle zwischen den Bump-Karten bereitstellt, gemäß einer Ausführungsform.
    • 4B ist eine Draufsicht eines Paars von Bump-Karten und eine Querschnittsveranschaulichung des Gehäusesubstrats, das Kanäle zwischen den Bump-Karten bereitstellt, gemäß einer Ausführungsform.
    • 4C ist eine Draufsichtveranschaulichung eines Routings innerhalb einer Schicht des Gehäusesubstrats in 4B, wo ausgewählte Leistungs- und Massepads depopuliert sind, gemäß einer Ausführungsform.
    • 5A ist ein Augendiagramm, das das Nebensprechen veranschaulicht, das in Standardgehäusekanälen vorhanden ist, wenn eine PHY-freie Architektur verwendet wird, gemäß einer Ausführungsform.
    • 5B ist ein Augendiagramm, das die Reduzierung des Nebensprechens veranschaulicht, die bereitgestellt wird, wenn Leitungspfade zwischen dem Kanal und dem Empfängerschaltkreis bereitgestellt werden, gemäß einer Ausführungsform.
    • 5C ist eine Draufsichtveranschaulichung einer Bump-Karte, bei der sich Senderschaltkreise unterhalb von Sender-Bumps befinden, und wobei die Empfängerschaltkreise durch einen Leiterpfad mit Empfänger-Bumps verbunden sind, gemäß einer Ausführungsform.
    • 6 ist ein Schaubild einer Rechenvorrichtung, die gemäß einer Ausführungsform gebaut ist.
  • AUSFÜHRUNGSFORMEN DER VOERLIEGENDEN OFFENBARUNG
  • Hier sind elektronische Gehäuse mit einer PHY-freien Die-zu-Die-EA-Zwischenverbindung gemäß verschiedenen Ausführungsformen beschrieben. In der folgenden Beschreibung werden verschiedene Aspekte der veranschaulichenden Implementierungen unter Verwendung von Begriffen beschrieben, die üblicherweise von einem Fachmann eingesetzt werden, um einem anderen Fachmann den Inhalt ihrer Arbeit zu vermitteln. Für einen Fachmann ist es jedoch offensichtlich, dass die vorliegende Erfindung mit nur manchen der beschriebenen Aspekte umsetzbar ist. Zu Erklärungszwecken sind spezielle Zahlen, Materialien und Konfigurationen dargelegt, um ein umfassendes Verständnis der veranschaulichenden Implementierungen bereitzustellen. Für Facheinen Fachmann ist es jedoch offensichtlich, dass die vorliegende Erfindung auch ohne die speziellen Details umsetzbar ist. In anderen Fällen werden wohlbekannte Merkmale weggelassen oder vereinfacht, um die veranschaulichenden Implementierungen nicht unklar zu machen.
  • Verschiedene Vorgänge sind wiederum als mehrere diskrete Vorgänge auf eine Weise beschrieben, die für das Verständnis der vorliegenden Erfindung am hilfreichsten ist, aber die Reihenfolge der Beschreibung sollte nicht derart ausgelegt werden, dass sie impliziert, dass diese Vorgänge notwendigerweise reihenfolgeabhängig sind. Insbesondere müssen diese Vorgänge nicht in der Reihenfolge der Präsentation durchgeführt werden.
  • Wie oben angemerkt, erzeugt die Die-Disaggregation die Herausforderung des Bereitstellens von EA-Kanälen zwischen Chiplets. Derzeit werden die EAs zwischen Chiplets unter Verwendung einem dedizierten Fest-IP(HIP)-PHY implementiert. HIP-PHYs erfordern herkömmliche Grade an individueller Anpassung und manueller Gestaltung. Dies erhöht die Kosten zum Gestalten neuer Chiplets, insbesondere bei einer Skalierung zu fortschrittlicheren Verarbeitungsknoten. Außerdem sind aktuelle PHY-Schichten nicht interoperabel miteinander. Das heißt, ein Chiplet mit einer EA-Bump-Karte mit einem ersten Rastermaß wird nicht einfach mit einem Chiplet mit einer EA-Bump-Karte mit einem zweiten Rastermaß gekoppelt.
  • Ein Beispiel für ein PHY-basiertes EA-Bump-Gebiet ist in 1A gezeigt. 1A ist eine Makroansicht eines EA-Gebiets 180 du eines Logikgebiets 185 eines Die 100. Die in 1A gezeigte Ansicht ist nach dem Entfernen der Bumps. Wie gezeigt, beinhaltet das EA-Gebiet 180 ein reguläres sich wiederholendes Muster von Blocken 181/182. Das reguläre sich wiederholende Mustergibt das Bump-Gebiet an, das manuell gestaltet wird. Im Gegensatz dazu ist das Logikgebiet 185 als eine monolithische Struktur zu sehen, die die Logik und das Routing angibt, die mit der Verwendung eines automatischen Place-and-Rout-Werkzeugs gestaltet werden.
  • Entsprechend beinhalten die hier offenbarten Ausführungsformen die Verwendung einer PHY-freien EA-Bump-Karte und von Schaltkreis/Grundriss-Anordnungen. Bei einer Ausführungsform wird eine Interoperabilität durch einheitliches Beibehalten der Breite einer EA-Bump-Karte entlang des Randes des Die (d. h. der Randlinienbreite) zwischen EA-Bump-Karten mit verschiedenen Bump-Rastermaßen bereitgestellt. Während die gleiche Randlinienbreite beibehalten wird, kann die Tiefe in den Die einer gegebenen EA-Bump-Karte variabel sein. Auf diese Weise kann eine konsistente Anzahl an Signalisierung-Bumps für EA-Bump-Karten mit verschiedenen Bump-Rastermaßen bereitgestellt werden.
  • Zusätzlich können PHY-freie Gestaltungen den Bedarf an einem individuell angepassten HIP für jede Vorrichtung beseitigen. Zum Beispiel kann der EA-Schaltkreis eines Chiplets hauptsächlich aus digitalen Zellen aus einer Standardbibliothek bestehen. Diese Zellen können mit dem Digitalgestaltungsfluss einfach einem Tape-Out unterzogen werden, um den Schaltkreisgestaltungsaufwand und Siliciumrisiken zu minimieren.
  • Ein Beispiel für ein PHY-freies EA-Bump-Gebiet 187 ist in 1B gezeigt. 1B ist eine Makroansicht eines EA-Gebiets 187 du eines Logikgebiets 185 eines Die 100. Die in 1B gezeigte Ansicht ist nach dem Entfernen der Bumps. Wie gezeigt, gibt es kein erkennbares sich wiederholendes Muster in dem EA-Gebiet 187. Dies gibt das EA-Gebiet 187 an, das mit einem automatischen Place-and-Route-Werkzeug gestaltet wird.
  • Nun unter Bezugnahme auf 2A ist eine Draufsichtveranschaulichung einer EA-Bump-Karte 250 gemäß einer Ausführungsform gezeigt. Bei der veranschaulichten Ausführungsform sind ein Sendergebiet Tx und ein Empfängergebiet Rx bereitgestellt. Das Sendergebiet Tx ist entlang des Die-Randes 220 positioniert und das Empfängergebiet Rx ist hinter (d. h. in 2A unter) dem Sendergebiet Tx gestapelt. Bei einer Ausführungsform kann die EA-Bump-Karte 250 Signalisierung-Bumps 253, Leistung-Bumps 254 und Masse-Bumps 255 beinhalten. Die Bumps 253, 254, 255 können in einem Hexagonmuster angeordnet sein. Jedoch können in verschiedenen Ausführungsformen auch andere Bump-Layoutmuster verwendet werden.
  • Bei einer Ausführungsform können die Bumps 253, 254, 255 ein Rastermaß P1 aufweisen. Das Rastermaß P1 wird in einer Richtung senkrecht zu dem Die-Rand 220 gemessen. Die Bumps 253, 254, 255 können auch ein Rastermaß P2 aufweisen, das in der Richtung parallel zu dem Die-Rand 220 gemessen wird. Das Rastermaß P1 und das Rastermaß P2 können bei manchen Ausführungsformen verschieden sein. Bei anderen Ausführungsformen können das Rastermaß P1 und das Rastermaß P2 einander im Wesentlichen ähnlich sein. Bei einer Ausführungsform kann das Rastermaß P1 55 µm betragen und kann das Rastermaß P2 98 µm betragen.
  • Bei einer Ausführungsform umfassen das Sendergebiet Tx und das Empfängergebiet Rx Spalten 252 und Zeilen 251. Wie gezeigt, umfasst bei der Ausführungsform aus 2A jede Zeile zehn Signalisierung-Bumps 253 und umfasst jede Spalte zwölf Signalisierung-Bumps 253. Es gibt fünf Spalten 2521-5 und sechs Zeilen 2511-6 , so dass insgesamt sechzig Signalisierung-Bumps 253 bereitgestellt werden. Jedoch versteht es sich, dass die sechzig Signalisierung-Bumps 253 von beispielhafter Natur sind und dass der Skalierungsaufwand, wie jener hier offenbarte, eine beliebige Anzahl an Signalisierung-Bumps 253 in der Bump-Karte 250 (und eine beliebige Anzahl an Signalisierung-Bumps 253 in jeder der Zeilen 251 und/oder Spalten 252) festgelegen kann.
  • Bei einer Ausführungsform kann die Bump-Karte 250 eine Randlinienbreite W1 entlang des Die-Randes 220 aufweisen kann. Bei einer Ausführungsform kann das Sendergebiet Tx eine Tiefe D1 in den Die aufweisen. Das Empfängergebiet Rx kann auch die gleiche Tiefe D1 aufweisen. Das erste Rastermaß P1 stellt eine Tiefe D1 von 412,5 µm bereit und das zweite Rastermaß P2 stellt eine Randlinienbreite W1 bereit, die näherungsweise 490 µm beträgt. Bei einer Ausführungsform beträgt ein Verhältnis von Signalisierung-Bumps 253 zu Leistung-Bumps 254 und Masse-Bumps 255 4:1.
  • Wie in 2B gezeigt wird, wird eine Interoperabilität zwischen den Bump-Karten 250 mit verschiedenen Rastermaßen durch Beibehalten einer konsistenten Anzahl an Signalisierung-Bumps 253 und einer einheitlichen Randlinienbreite W1 bereitgestellt. Nun unter Bezugnahme auf 2B ist eine Draufsichtveranschaulichung einer Bump-Karte 250 gemäß einer zusätzlichen Ausführungsform gezeigt. Bei einer Ausführungsform kann die Bump-Karte 250 aus 2B ein drittes Rastermaß P3 und ein viertes P4 aufweisen. Das dritte Rastermaß P3 wird senkrecht zu dem Die-Rand 220 gemessen und das vierte Rastermaß P4 wird parallel zu dem Die-Rand 220 gemessen. Bei einer Ausführungsform kann das dritte Rastermaß P3 kleiner als das erste Rastermaß P1 sein und kann das vierte Rastermaß P4 kleiner als das zweite Rastermaß P2 sein. Wenn zum Beispiel das erste Rastermaß P1 55 µm beträgt und das dritte Rastermaß P3 36 µm beträgt und wenn das zweite Rastermaß P2 98 µm beträgt, kann das vierte Rastermaß P4 65,3 µm betragen.
  • Jedoch sind die Anzahl an Signalisierung-Bumps 253 in 2B und die Randlinienbreite W2 in 2B gleich der Anzahl an Signalisierung-Bumps 253 in 2A und der Randlinienbreite W1 in 2A. Die konsistente Anzahl an Signalisierung-Bumps 253 und die einheitliche Randlinienbreite W wird durch Erhöhen der Anzahl an Spalten 252 und Reduzieren der Anzahl an Zeilen 251 in der Bump-Karte 250 aus 2B ermöglicht. Zum Beispiel kann die Bump-Karte 250 in 2B 7,5 Spalten 2521-7.5 und vier Zeilen 2511-4 umfassen. Durch das Reduzieren der Anzahl an Zeilen 251 wird die Tiefe D2 der Bump-Karte 250 in 2B im Vergleich zu der Bump-Karte 250 in 2A reduziert.
  • Obwohl 2A ein minimales Bump-Rastermaß von 55 µm zeigt und 2B ein minimales Bump-Rastermaß von 36 µm zeigt, versteht es sich, dass eine Skalierung zu unterschiedlichen Bump-Rastermaßen auch möglich ist, während eine einheitliche Randlinienbreite und Anzahl an Signalisierung-Bumps 253 beibehalten werden. Zum Beispiel können minimale Bump-Rastermaße von 45 µm und 25 µm auch Bump-Karten 250 aufweisen, die interoperabel miteinander sind. Ein minimales Bump-Rastermaß von 45 µm kann sechs Spalten 252 und fünf Zeilen 253 aufweisen und ein minimales Bump-Rastermaß von 25 µm kann zehn Spalten und drei Zeilen 253 aufweisen. Es versteht sich, dass minimale Bump-Rastermaße, die größer als 55 µm sind und die kleiner als 25 µm sind, auch bereitgestellt werden können, während die einheitliche Randlinienbreite und Anzahl an Signalisierung-Bumps beibehalten werden.
  • Interoperable Bump-Karten 250 können auch für zusätzliche C4-Bumps bereitgestellt werden. Eine solche Ausführungsform ist in 2C gezeigt. Bei einer Ausführungsform können C4-Signalisierung-Bumps 253 ein fünftes Rastermaß P5 senkrecht zu dem Die-Rand 220, das näherungsweise 110 µm beträgt, und ein sechstes Rastermaß P6 parallel zu dem Die-Rand 220, das 196 µm beträgt, aufweisen. Die größeren Bump-Rastermaße P5 und P6 reduzieren die Anzahl an Signalisierung-Bumps 253, die in der Bump-Karte 250 enthalten sein können. Zum Beispiel sind zwölf Signalisierung-Bumps 253 in sowohl dem Tx-Gebiet als auch dem Rx-Gebiet bereitgestellt. Jedoch kann die Randlinienbreite W3 an die Randlinienbreite W1 und/oder W2 aus 2A und 2B angeglichen werden. Das heißt, bei manchen Ausführungsformen kann die Randlinienbreite W3 490 µm betragen. Bei einer Ausführungsform kann die Tiefe D3 sowohl des Tx-Gebiets als auch des Rx-Gebiets näherungsweise 412,5 µm betragen.
  • Nun unter Bezugnahme auf 3A ist eine Querschnittsveranschaulichung eines elektronischen Gehäuses 300 gemäß einer Ausführungsform gezeigt. Bei einer Ausführungsform kann das elektronische Gehäuse 300 ein Gehäusesubstrat 382 umfassen, das durch Zwischenverbindungen 383 an einer Platine 380 (z. B. einer Hauptplatine) angebracht ist. Bei einer Ausführungsform sind die Zwischenverbindungen 383 als Lötkugeln gezeigt. Jedoch versteht es sich, dass die Zwischenverbindungen 383 eine beliebige geeignete Zwischenverbindungsarchitektur (z. B. Sockel usw.) umfassen kann.
  • Bei einer Ausführungsform umfasst das Gehäusesubstrat 382 Schichten aus isolierendem Material, das (nicht gezeigte) Leiterbahnen umgibt. Bei einer Ausführungsform kann das Gehäusesubstrat 382 ein Substrat mit kern oder kernlos sein. Bei einer Ausführungsform ist eine Brücke 340 in dem Gehäusesubstrat 382 eingebettet. Die Brücke 340 kann ein Substratmaterial umfassen, das zum Bilden eines Routing mit hoher Dichte der Kanäle 341 geeignet ist, um einen ersten Die 3301 und einen zweiten Die 3302 kommunikativ zu koppeln. Zum Beispiel kann die Brücke 340 Silicium mit Metallschichten umfassen, die in einem Backend-Gebiet bereitgestellt sind.
  • Bei einer Ausführungsform können der erste Die 3301 und der zweite Die 3302 ein beliebiger Typ von Halbleitervorrichtungen sein. Zum Beispiel können der erste Die 3301 und der zweite Die 3302 Prozessoren (z. B. CPU, GPU), Speicher, ein Systemauf-Chip (SoC) oder dergleichen sein. Bei manchen Ausführungsformen können der erste Die 3301 und der zweite Die 3302 der gleiche Typ von Die sein. Bei anderen Ausführungsformen kann der erste Die 3301 ein anderer Typ von Die als der zweite Die 3302 sein. Bei manchen Ausführungsformen kann ein minimales Rastermaß von Bumps 353 des ersten Die 3301 verschieden von einem minimalen Rastermaß von Bumps 353 des zweiten Die 3302 sein. Trotz des Unterschiedes des Bump-Rastermaßes kann die Bump-Karte des ersten Die 3301 interoperable mit der Bump-Karte des zweiten Die 3302 sein.
  • Nun unter Bezugnahme auf 3B ist ein Draufsichtschaubild eines ersten Die 3301 , der kommunikativ mit einem zweiten Die 3302 gekoppelt ist, gemäß einer Ausführungsform gezeigt. Der erste Die 3301 umfasst eine erste Bump-Karte 3501 und der zweite Die 3302 umfasst eine zweite Bump-Karte 3502 . Die erste Bump-Karte 3501 umfasst ein TX-Gebiet 3551 und ein Paar von RX-Gebieten 3561 . Das TX-Gebiet 3551 befindet sich nahe einem Rand des ersten Die 3301 . Die zweite Bump-Karte 3502 umfasst ein Paar von TX-Gebieten 3552 und ein RX-Gebiet 3562 . Eines der TX-Gebiete 3552 befindet sich nahe einem Rand des zweiten Die 3302 . Das Bereitstellen der Tx-Gebiete 355 nahe den Die-Rändern zu den RX-Gebieten 356 tiefer in den Die 330 hinein vereinfacht den Gestaltungsaufwand, da es keine Notwendigkeit gibt, eine andere Gestaltung mit vertauschten Tx- und Rx-Stellen mit Bezug auf den Die-Rand bereitzustellen. Wie gezeigt, sind die Randlinienbreite W des Tx-Gebiets 3551 und des Tx-Gebiets 3552 jeweils gleich.
  • Bei der veranschaulichten Ausführungsform sind drei Module entlang des Randes jedes Die gestapelt (d. h. das Tx-Gebiet 3551 und das Paar von Rx-Gebieten 3561 in dem ersten Die 3301 und das Paar von TX-Gebieten 3552 und das RX-Gebiet 3562 in dem zweite Die 3302 ). Jedoch versteht es sich, dass eine beliebige Anzahl an Modulen (d. h. ein oder mehrere Module) entlang der Die-Ränder bereitgestellt werden kann. Um eine zusätzliche Bandbreite bereitzustellen, können zusätzliche Module lateral an die in 3B gezeigten Module angrenzen.
  • Bei einer Ausführungsform sind die Tx-Gebiete 355 durch Kanäle 341 in der Brücke 340 kommunikativ mit den Rx-Gebieten 356 auf dem gegenüberliegenden Die 330 gekoppelt. Zum Beispiel ist das Tx-Gebiet 3551 durch einen Kanal 341 kommunikativ mit dem Rx-Gebiet 3562 gekoppelt und sind die Tx-Gebiete 3552 jeweils durch einen Kanal 341 kommunikativ mit einem anderen Rx-Gebiet 3561 gekoppelt.
  • Nun unter Bezugnahme auf 3C ist eine schematische Draufsichtveranschaulichung eines elektronischen Gehäuses 300 gemäß einer zusätzlichen Ausführungsform gezeigt. In 3C beinhaltet der erste Die 3301 eine erste Bump-Karte 3501 mit einem Tx-Gebiet 3551 und einem Rx-Gebiet 3561 , die ein erstes Bump-Rastermaß aufweisen, und beinhaltet der zweite Die 3302 eine zweite Bump-Karte 3502 mit einem Tx-Gebiet 3552 und einem Rx-Gebiet 3562 , die ein zweites Bump-Rastermaß aufweisen. Trotz des Unterschiedes des Bump-Rastermaßes beinhalten die erste Bump-Karte 3501 und die zweite Bump-Karte 3502 eine einheitliche Randlinienbreite W und sind daher dazu in der Lage, miteinander über die Brücke 340 hinweg zu kommunizieren. In 3C kann das erste Tx-Gebiet 3551 durch einen Kanal 341 in der Brücke 340 kommunikativ mit dem zweiten Rx-Gebiet 3562 gekoppelt sein und kann das zweite Tx-Gebiet 3552 durch einen Kanal 341 in der Brücke 340 kommunikativ mit dem ersten Rx-Gebiet 3561 gekoppelt sein.
  • Bei einer Ausführungsform kann die erste Bump-Karte 3501 der Bump-Karte 250 in 2A ähnlich sein und kann die zweite Bump-Karte 3502 der Bump-Karte 250 in 2B ähnlich sein. Das heißt, ein minimales Bump-Rastermaß in der ersten Bump-Karte 3501 kann 55 µm betragen und ein minimales Bump-Rastermaß in der zweiten Bump-Karte 3502 kann 36 µm betragen. Jedoch versteht es sich, dass die minimalen Bump-Rastermaße der ersten Bump-Karte 3501 und der zweiten Bump-Karte 3502 ein beliebiger Wert sein können, so lange die Randlinienbreiten W die gleichen verbleiben.
  • Nun unter Bezugnahme auf 3D ist eine Querschnittsveranschaulichung eines elektronischen Gehäuses 300 gemäß einer zusätzlichen Ausführungsform gezeigt. Das elektronische Gehäuse 300 in 3D ist dem elektronischen Gehäuse 300 in 3A ähnlich, mit der Ausnahme, dass die Brücke 340 weggelassen ist. Stattdessen sind die Kanäle 341 auf (oder eingebettet in) dem Gehäusesubstrat. Solche elektronischen Gehäuse 300 sind für Dies 330 geeignet, die Standard-C4-Bump-Rastermaße aufweisen. Das heißt, das minimale Rastermaß der Bumps 353 kann 110 µm betragen.
  • Nun unter Bezugnahme auf 3E ist eine schematische Draufsichtveranschaulichung des elektronischen Gehäuses 300 in 3D gemäß einer Ausführungsform gezeigt. Wie gezeigt, ermöglicht die Modulgestaltung der Tx- und Rx-Gebiete eine Schaltkreiswiederverwendung über verschiedene Gehäusetechnologien hinweg. Zum Beispiel kann der erste Die 3301 eine erste Bump-Karte 3501 mit einem Tx-Gebiet 3551 und einem RX-Gebiet 3561 umfassen und kann der zweite Die 3302 eine zweite Bump-Karte 3502 mit einem Tx-Gebiet 3552 und einem Rx-Gebiet 3562 aufweisen. Die zweite Bump-Karte 3502 ein minimales Bump-Rastermaß von 110 µm aufweisen, das für die Verwendung mit C4-Bumps geeignet ist.
  • Aufgrund der einheitlichen Randlinienbreite W ist die erste Bump-Karte 3501 interoperabel mit der zweiten Bump-Karte 3502. Da die Bump-Karten 3501 und 3502 miteinander kompatibel sind, muss die erste Bump-Karte 3501 nur ihr minimales Bump-Rastermaß erhöhen (z. B. von 55 µm auf 110 µm). Die Modul-Bump-Kartengestaltung unterstützt das Behalten von zwölf Signalen aus den insgesamt sechzig Signalen für jedes Modul zum Verbinden mit der zweiten Bump-Karte 3502 (z. B. ähnlich der in 2C gezeigten Ausführungsform). Von daher ist die einzige Änderung zu der ersten Bump-Karte 3501 die einfache Änderung in der fernen Backend-Schicht und den Bumps. Das heißt, die zugrundeliegende Schaltungsanordnung kann unabhängig davon, ob ein 55-µm-Rastermaß oder ein 110-µm-Rastermaß verwendet wird, die gleiche verbleiben.
  • Nun unter Bezugnahme auf 4A sind eine Kompositdraufsicht und eine Querschnittsveranschaulichung eines elektronischen Gehäuses 400 gemäß einer Ausführungsform gezeigt. In 4A sind eine erste Bump-Karte 4501 und eine zweite Bump-Karte 4502 als eine Draufsicht bereitgestellt und ist die darunterliegende Brücke 440 als eine Querschnittsansicht gezeigt. Die erste Bump-Karte 4501 und die zweite Bump-Karte 4502 können jeweils ein Tx-Gebiet und ein Rx-Gebiet umfassen. Die Tx-Gebiete (d. h. TX1 und TX2) befinden sich entlang der Die-Ränder und die Rx-Gebiete (d. h. RX1 und RX2) sind in den Die gestapelt.
  • In dem Querschnittsteil aus 4A ist eine Brücke 440 mit mehreren Metallschichten M1-M4 gezeigt. Ein Paar von Kanälen 441 ist in der Brücke 440 veranschaulicht. Ein erster Kanal 4411-2 zwischen den Bumps 453 in TX1 und RX2 ist in der ersten Metallschicht M1 angeordnet und ein zweiter Kanal 4412-1 zwischen den Bumps 453 in Tx2 und RX1 ist in der dritten Metallschicht M3 angeordnet. Bei einer Ausführungsform sind die Intramodulkanäle 441 längenangeglichen, um den Versatz zwischen allen Datenspuren und dem Takt zu minimieren. Die Intermodulkanäle sind auch angeglichen. Bei der veranschaulichten angeglichenen Gestaltung müssen die Kanäle 4412-1 das TX1-Signal über Kontaktflecken in der M3-Schicht führen. Jedoch ermöglichen die Routing-Gestaltungsregeln mit hoher Dichte der Brückenarchitekturen, dass das derartige Routing leicht realisiert wird.
  • Nun unter Bezugnahme auf 4B sind eine Kompositdraufsicht und eine Querschnittsveranschaulichung eines elektronischen Gehäuses 400 gemäß einer zusätzlichen Ausführungsform gezeigt. In 4B sind eine erste Bump-Karte 4501 und eine zweite Bump-Karte 4502 als eine Draufsicht bereitgestellt und ist das darunterliegende Gehäusesubstrat 482 als eine Querschnittsansicht gezeigt. Die erste Bump-Karte 4501 und die zweite Bump-Karte 4502 sind als für C4-Bumps geeignet gezeigt (z. B. mit einem minimalen Bump-Rastermaß von 110 µm). Von daher sind die Kanäle 441 dazu in der Lage, unter Verwendung von Kanälen 441 in einem Standardkapselungssubstrat 482 implementiert zu werden, ohne eine Brücke zu benötigen.
  • Das Kapselungssubstrat 482 kann mehrere Metallschichten umfassen. Zum Beispiel sind 5 Metallschichten L1-L5 gezeigt. Der Kanal 4411-2 ist in der zweiten Metallschicht L2 bereitgestellt und der Kanal 4412-1 ist in der vierten Metallschicht L4 bereitgestellt. Die Kanäle 4411-2 und 4412-1 sind ähnlich der Ausführungsform oben in 4A intermodul- und intramodulangeglichen. Jedoch ermöglichen die Gestaltungsregeln für ein herkömmliches Kapselungssubstrat 482 kein einfaches Routing. Eine Lösung kann das Austauschen der Tx2- und Rx2-Stellen sein, so dass der Kanal 4412-1 länger als der Kanal 4411-2 ist und keinerlei Kreuzungspunkt beinhaltet. Jedoch würde eine solche Lösung zwei unterschiedliche Modulplatzierungen und Siliciumgestaltungen erfordern und würde die durch hier offenbarte Ausführungsformen bereitgestellte Interoperabilität einschränken.
  • Entsprechend stellen hier offenbarte Ausführungsformen eine Routing-Architektur bereit, die depopulierte Leistungs- und Massepads in der zweiten Schicht L2 beinhaltet, um Platz für das Routing der 4411-2-Kanäle zu machen. Ein Beispiel für eine solche Ausführungsform ist in 4C gezeigt. 4C ist eine Draufsichtveranschaulichung der zweiten Schicht L2 mit einem veranschaulichten Satz von Kanälen 4411-2 . Einige der Leistungspads 454 und der Massepads 455 können depopuliert sein, wie durch Kreise mit einem „x“ angegeben ist. Zum Beispiel sind alle der Leistungspads 454 und der Massepads 455 in dem Tx2-Gebiet depopuliert. Manche, aber nicht alle, der Leistungspads 454 und der Massepads 455 können auch in dem Rx2-Gebiet und dem TX1-Gebiet depopuliert sein. Bei einer Ausführungsform kann ein Rand der ersten Bump-Karte 4501 von einem Rand der zweiten Bump-Karte 4502 um eine Beabstandung O versetzt sein, um das Routing zu vereinfachen. Das Routing des Kanals 4412-1 in der vierten Metallschicht L4 kann mit minimaler Depopulierung erfolgen, da alle der TX1-Signal in der zweiten Metallschicht L2 stoppen.
  • Nun unter Bezugnahme auf 5A-5C sind ein paar von Augendiagrammen und eine Draufsichtveranschaulichung, die ein Ausgleich-Routing (d. h. Leitungspfade) darstellen, gemäß einer Ausführungsform bereitgestellt. Um eine PHY-freie Gestaltung voll ausnutzen zu können, müssen die Sender- und Empfängerschaltkreise einfach sein. Jedoch gibt es eine Herausforderung für solche Schaltkreise sowohl für fortschrittliche Gehäusekanäle (z. B. Kanäle über einer Brücke) als auch Standardkanäle in einem Gehäusesubstrat. Wie in dem Ausgendiagramm aus 5A gezeigt, weist der Brückenkanal ein starkes RC-Verhalten auf, aber die Standardgehäusekanäle zeigen starke Reflexionen und verstärktes Nebensprechen. Das heißt, es ist eine Herausforderung, die gleichen Schaltkreise für beide Typen von Kanälen zu verwenden, insbesondere mit den einfachen Treiberschaltkreisen eines PHY-freien Gestaltung.
  • Entsprechend beinhalten hier offenbarte Ausführungsformen die Hinzufügung eines On-Die-Leitungspfad-Routings auf den Rx-Modulen, um die Standardgehäuseleiterbahn auszugleichen. Außerdem fehlt das On-Die-Leitungspfad-Routing bei den Tx-Modulen. Wie in 5B gezeigt, führt das Hinzufügen des Leitungspfad-Routings zu den Rx-Modulen zu einem viel glatteren Augendiagramm für Standardgehäusekanäle.
  • Nun unter Bezugnahme auf 5C ist eine Draufsichtveranschaulichung einer Bump-Karte 550 gemäß einer Ausführungsform gezeigt. Die Bump-Karte 550 beinhaltet ein Tx-Gebiet und ein Rx-Gebiet. Wie gezeigt, befinden sich die Tx-Bumps 553T direkt oberhalb der TX-Schaltkreise 571. Die TX-Schaltkreise 571 sind mit einem gestrichelten Umriss gezeigt, um anzugeben, dass sie sich auf der Die-Oberfläche unterhalb der Tx-Bumps 553T befinden. Im Gegensatz dazu sind die Rx-Schaltkreise 572 nicht mit den Rx-Bumps 553R co-lokalisiert. Bei einer Ausführungsform sind die Rx-Schaltkreise 572 in einem Array entlang eines Randes des Rx-Gebiets angeordnet. Leitungspfade 575 stellen eine elektrische Kopplung zwischen den Rx-Bumps 553R und den Rx-Schaltkreisen 572 bereit. Zum Beispiel ist der Rx-Bump 553R1 durch den Leitungspfad 5751 mit dem Rx-Schaltkreis 572 gekoppelt, ist der Rx-Bump 553R2 durch den Leitungspfad 5752 mit dem Rx-Schaltkreis 572 gekoppelt, ist der Rx-Bump 553R3 durch den Leitungspfad 5753 mit dem Rx-Schaltkreis 572 gekoppelt, und ist der Rx-Bump 553R4 durch den Leitungspfad 5754 mit dem Rx-Schaltkreis 572 gekoppelt.
  • Bei einer Ausführungsform kann der Leitungspfad 575 in einer Backend-Metallschicht eines Die implementiert werden. Bei einer speziellen Ausführungsform werden die Leitungspfade 575 in der M14- oder M15-Schicht eines Die unterhalb der Bumping-Schicht implementiert. Ausführungsformen können Leitungspfade 575 beinhalten, die eine beliebige Länge aufweisen, um den benötigten Ausgleich bereitzustellen. Bei manchen Ausführungsformen können die Leitungspfade 575 eine Länge aufweisen, die zwischen 200 µm und 600 µm beträgt. Zum Beispiel kann ein Leitungspfad 575 mit einer Länge von näherungsweise 400 µm in der M15-Schicht näherungsweise 65 Ohm für den Kanal bereitstellen.
  • Außerdem kann das Aufnehmen der Leitungspfade 575 eine vernachlässigbare Auswirkung auf Kanäle bereitstellen, die über eine Brückensubstrat implementiert werden. Von daher kann die gleiche Schaltungsanordnung für sowohl Standardgehäusesubstratkanäle und für fortschrittliche Kapselungsarchitekturen, die ein Brückensubstrat nutzen, genutzt werden.
  • 6 veranschaulicht eine Rechenvorrichtung 600 gemäß einer Implementierung der Erfindung. Die Rechenvorrichtung 600 beherbergt eine Platine 602. Die Platine 602 kann eine Anzahl an Komponenten, einschließlich unter anderem eines Prozessors 604 und wenigstens eines Kommunikationschips 606, beinhalten. Der Prozessor 604 ist physisch und elektrisch mit der Platine 602 gekoppelt. Bei manchen Implementierungen ist der wenigstens eine Kommunikationschip 606 auch physisch und elektrisch mit der Platine 602 gekoppelt. Bei weiteren Implementierungen ist der Kommunikationschip 606 Teil des Prozessors 604.
  • Diese anderen Komponenten beinhalten unter anderem flüchtigen Speicher (z. B. DRAM), nichtflüchtigen Speicher (z. B. ROM), Flash-Speicher, einen Grafikprozessor, einen Digitalsignalprozessor, einen Kryptoprozessor, einen Chipsatz, eine Antenne, eine Anzeige, eine Berührungsbildschirmanzeige, eine Berührungsbildschirmsteuerung, eine Batterie, einen Audiocodec, einen Videocodec, einen Leistungsverstärker, eine Globales-Positionierungssystem(GPS)-Vorrichtung, einen Kompass, einen Beschleunigungsmesser, ein Gyroskop, einen Lautsprecher, eine Kamera und eine Massenspeicherungsvorrichtung (wie etwa ein Festplattenlaufwerk, eine Compact-Disk (CD), eine Digital-Versatile-Disk (DVD) und so weiter).
  • Der Kommunikationschip 606 ermöglicht drahtlose Kommunikationen für die Übertragung von Daten zu und von der Rechenvorrichtung 600. Der Begriff „drahtlos“ und seine Ableitungen können verwendet werden, um Schaltkreise, Vorrichtungen, Systeme, Verfahren, Techniken, Kommunikationskanäle usw. zu beschreiben, die Daten durch die Verwendung modulierter elektromagnetischer Strahlung durch ein nichtfestes Medium kommunizieren können. Der Ausdruck impliziert nicht, dass die assoziierten Vorrichtungen keinerlei Drähte enthalten, obwohl dies bei manchen Ausführungsformen der Fall sein kann. Der Kommunikationschip 606 kann beliebige einer Anzahl an drahtlosen Standards oder Protokollen implementieren, einschließlich unter anderem Wi-Fi (IEEE-802.11-Familie), WiMAX (IEEE-802.16-Familie), IEEE-802.20, Long Term Evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, Ableitungen derselben sowie beliebiger anderer Drahtlosprotokolle, die als 3G, 4G, 5G und darüber hinaus bezeichnet werden. Die Rechenvorrichtung 600 kann mehrere Kommunikationschips 606 beinhalten. Beispielsweise kann ein erster Kommunikationschip 606 für kürzerreichweitige drahtlose Kommunikation dediziert sein, wie etwa Wi-Fi und Bluetooth, und kann ein zweiter Kommunikationschip 606 für längerreichweitige drahtlose Kommunikation dediziert sein, wie etwa GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO und andere.
  • Der Prozessor 604 der Rechenvorrichtung 600 beinhaltet einen Integrierter-Schaltkreis-Die, der innerhalb des Prozessors 604 gekapselt ist. Bei manchen Implementierungen der Erfindung kann der Integrierter-Schaltkreis-Die des Prozessors Teil eines elektronischen Gehäuses sein, das eine PHY-freie EA-Bump-Karte gemäß hier beschriebenen Ausführungsformen umfasst. Der Begriff „Prozessor“ kann sich auf eine beliebige Vorrichtung oder einen beliebigen Teil einer Vorrichtung beziehen, die bzw. der elektronische Daten aus Registern und/oder einem Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten, die in Registern und/oder einem Speicher gespeichert werden können, umzuwandeln.
  • Der Kommunikationschip 606 beinhaltet auch einen Integrierter-Schaltkreis-Die, der innerhalb des Kommunikationschips 606 gekapselt ist. Gemäß irgendeiner anderen Implementierung der Erfindung kann der Integrierter-Schaltkreis-Die des Kommunikationschips Teil eines elektronischen Gehäuses sein, das eine PHY-freie EA-Bump-Karte gemäß hier beschriebenen Ausführungsformen umfasst.
  • Die obige Beschreibung von veranschaulichten Implementierungen der Erfindung, einschließlich dessen, was in der Zusammenfassung beschrieben ist, soll nicht erschöpfend sein oder die Erfindung auf die offenbarten genauen Formen beschränken. Obwohl spezielle Implementierungen der Erfindung und Beispiele für diese hier zu veranschaulichenden Zwecken beschrieben sind, sind verschiedene äquivalente Modifikationen innerhalb des Schutzumfangs der Erfindung möglich, wie ein Fachmann auf dem betreffenden Gebiet erkennen wird.
  • Diese Modifikationen können im Hinblick auf die obige ausführliche Beschreibung an der Erfindung vorgenommen werden. Die in den folgenden Ansprüchen verwendeten Ausdrücke sollten nicht so ausgelegt werden, dass sie die Erfindung auf die speziellen Implementierungen, die in der Beschreibung und den Ansprüchen offenbart sind, beschränken. Vielmehr soll der Schutzumfang der Erfindung vollständig durch die folgenden Ansprüche bestimmt werden, die gemäß etablierten Lehren der Anspruchsdeutung aufzufassen sind.
  • Beispiel 1: ein elektronisches Gehäuse, das Folgendes umfasst: ein Gehäusesubstrat; einen ersten Die über dem Gehäusesubstrat, wobei der erste Die eine erste EA-Bump-Karte umfasst, wobei Bumps der ersten EA-Bump-Karte ein erstes Rastermaß aufweisen; einen zweiten Die über dem Gehäusesubstrat, wobei der zweite Die eine zweite EA-Bump-Karte umfasst, wobei Bumps der zweiten EA-Bump-Karte ein zweites Rastermaß aufweisen, das verschieden von dem ersten Rastermaß ist; und Zwischenverbindungen zwischen der ersten EA-Bump-Karte und der zweiten EA-Bump-Karte.
  • Beispiel 2: das elektronische Gehäuse aus Beispiel 1, wobei die erste Bump-Karte eine erste Breite entlang eines Randes des ersten Die aufweist und wobei die zweite Bump-Karte eine zweite Breite entlang eines Randes des zweiten Die aufweist, wobei die erste Breite mit der zweiten Breite übereinstimmt.
  • Beispiel 3: das elektronische Gehäuse aus Beispiel 1 oder Beispiel 2, wobei die erste Bump-Karte eine erste Tiefe in den ersten Die hinein aufweist und wobei die zweite Bump-Karte eine zweite Tiefe in den zweiten Die hinein aufweist, wobei die erste Tiefe verschieden von der zweiten Tiefe ist.
  • Beispiel 4: das elektronische Gehäuse aus Beispielen 1-3, wobei sich die Zwischenverbindungen innerhalb des Gehäusesubstrats befinden.
  • Beispiel 5: das elektronische Gehäuse aus Beispielen 1-3, das ferner Folgendes umfasst: eine Brücke, wobei sich die Zwischenverbindungen auf der Brücke befinden.
  • Beispiel 6: das elektronische Gehäuse aus Beispielen 1-5, wobei das erste Rastermaß näherungsweise 55 µm oder mehr beträgt und wobei das zweite Rastermaß näherungsweise 55 µm oder weniger beträgt.
  • Beispiel 7: das elektronische Gehäuse aus Beispielen 1-6, wobei das zweite Rastermaß näherungsweise 45 µm, näherungsweise 36 µm oder näherungsweise 25 µm beträgt.
  • Beispiel 8: das elektronische Gehäuse aus Beispielen 1-7, wobei die erste Bump-Karte eine erste Anzahl an Signal-Bumps aufweist und wobei die zweite Bump-Karte eine zweite Anzahl an Signal-Bumps aufweist, wobei die erste Anzahl an Signal-Bumps gleich der zweiten Anzahl an Signal-Bumps ist.
  • Beispiel 9: das elektronische Gehäuse aus Beispiel 8, wobei die erste Anzahl an Signal-Bumps sechzig ist.
  • Beispiel 10: das elektronische Gehäuse aus Beispielen 1-9, wobei die erste Bump-Karte Folgendes umfasst: ein erstes Sendergebiet; und ein erstes Empfängergebiet, wobei das erste Sendergebiet entlang eines Randes des ersten Die ist; und wobei die zweite Bump-Karte Folgendes umfasst: ein zweites Sendergebiet; und ein zweites Empfängergebiet, wobei das zweite Sendergebiet entlang eines Randes des zweiten Die ist.
  • Beispiel 11: ein elektronisches Gehäuse, das Folgendes umfasst: ein Gehäusesubstrat mit mehreren Metallschichten, die in dem Gehäusesubstrat eingebettet sind, wobei eine erste Metallschicht, eine dritte Metallschicht und eine fünfte Metallschicht Leistungs- und/oder Masseschichten sind und wobei eine zweite Metallschicht und eine vierte Metallschicht Signalisierungsschichten sind; einen ersten Die über dem Gehäusesubstrat, wobei der erste Die Folgendes umfasst: eine erste Bump-Karte mit einem ersten Sendergebiet und einem ersten Empfängergebiet; einen zweiten Die über dem Gehäusesubstrat, wobei der zweite Die Folgendes umfasst: eine zweite Bump-Karte mit einem zweiten Sendergebiet und einem zweiten Empfängergebiet; und wobei das erste Empfängergebiet durch Kanäle in der zweiten Metallschicht elektrisch mit dem zweiten Empfängergebiet gekoppelt ist und wobei das zweite Sendergebiet durch Kanäle in der vierten Metallschicht elektrisch mit dem ersten Empfängergebiet gekoppelt ist.
  • Beispiel 12: das elektronische Gehäuse aus Beispiel 11, wobei ein Satz von Leistungspads und ein Satz von Massepads von der zweiten Metallschicht depopuliert sind.
  • Beispiel 13: das elektronische Gehäuse aus Beispiel 12, wobei der depopulierte Satz von Leistungspads und die depopulierten Massepads alle der Leistungspads und alle der Massepads unterhalb des zweiten Sendergebiets umfassen.
  • Beispiel 14: das elektronische Gehäuse aus Beispiel 12, wobei keines der Leistungspads und keines der Massepads von der zweiten Metallschicht depopuliert sind.
  • Beispiel 15: das elektronische Gehäuse aus Beispielen 11-15, wobei ein Rand der ersten Bump-Karte von einem Rand der zweiten Bump-Karte versetzt ist.
  • Beispiel 16: das elektronische Gehäuse aus Beispiel 15, wobei die erste Bump-Karte eine erste Breite entlang eines Randes des ersten Die aufweist und wobei die zweite Bump-Karte eine zweite Breite entlang eines Randes des zweiten Die aufweist, wobei die erste Breite gleich der zweiten Breite ist.
  • Beispiel 17: das elektronische Gehäuse aus Beispielen 11-16, wobei die Kanäle in der zweiten Metallschicht und die Kanäle in der vierten Metallschicht die gleiche Länge aufweisen.
  • Beispiel 18: ein Halbleiter-Die, der Folgendes umfasst: ein Halbleitersubstrat, wobei das Halbleitersubstrat Folgendes umfasst: ein EA-Sendergebiet, das mehrere Senderschaltkreise umfasst; und ein EA-Empfängergebiet, das mehrere Empfängerschaltkreise umfasst; mehrere Metallschichten über dem Halbleitersubstrat; mehrere erste Bumps über dem EA-Sendergebiet, wobei einzelne Senderschaltkreise mit einzelnen ersten Bumps ausgerichtet sind; und mehrere zweite Bumps über dem EA-Empfängergebiet, wobei einzelne Empfängerschaltkreise nicht mit einzelnen zweiten Bumps ausgerichtet sind.
  • Beispiel 19: der Halbleiter-Die aus Beispiel 18, wobei einzelne erste Bumps durch vertikale Zwischenverbindungen durch die mehreren Metallschichten elektrisch mit einzelnen Senderschaltkreisen gekoppelt sind und wobei einzelne zweite Bumps durch vertikale Zwischenverbindungen und ein horizontales Leiterpfadsegment in den mehreren Metallschichten elektrisch mit den einzelnen Empfängerschaltkreisen gekoppelt sind.
  • Beispiel 20: der Halbleiter-Die aus Beispiel 19, wobei das horizontale Leiterpfadsegment eine Länge aufweist, die näherungsweise 200 µm oder mehr beträgt.
  • Beispiel 21: der Halbleiter-Die aus Beispielen 18-20, wobei der horizontale Leiterpfad näherungsweise 65 Ohm zu dem elektrischen Kanal zwischen den zweiten Bumps und dem Empfängerschaltkreis hinzufügt.
  • Beispiel 22: der Halbleiter-Die aus Beispielen 18-21, wobei die mehreren Empfängerschaltkreise in einem Array innerhalb des EA-Empfängergebiets angeordnet sind.
  • Beispiel 23: ein elektronisches System, das Folgendes umfasst: eine Platine; ein elektronisches Gehäuse, das mit der Platine gekoppelt ist; einen ersten Die über dem Gehäusesubstrat, wobei der erste Die eine erste EA-Bump-Karte umfasst, wobei Bumps der ersten EA-Bump-Karte ein erstes Rastermaß aufweisen; einen zweiten Die über dem Gehäusesubstrat, wobei der zweite Die eine zweite EA-Bump-Karte umfasst, wobei Bumps der zweiten EA-Bump-Karte ein zweites Rastermaß aufweisen, das verschieden von dem ersten Rastermaß ist; und Zwischenverbindungen zwischen der ersten EA-Bump-Karte und der zweiten EA-Bump-Karte.
  • Beispiel 24: das elektronische System aus Beispiel 23, wobei die erste Bump-Karte eine erste Breite entlang eines Randes des ersten Die aufweist und wobei die zweite Bump-Karte eine zweite Breite entlang eines Randes des zweiten Die aufweist, wobei die erste Breite mit der zweiten Breite übereinstimmt.
  • Beispiel 25: das elektronische Gehäuse aus Beispiel 23 oder Beispiel 24, wobei die erste Bump-Karte eine erste Tiefe in den ersten Die hinein aufweist und wobei die zweite Bump-Karte eine zweite Tiefe in den zweiten Die hinein aufweist, wobei die erste Tiefe verschieden von der zweiten Tiefe ist.

Claims (25)

  1. Elektronisches Gehäuse, das Folgendes umfasst: ein Gehäusesubstrat; einen ersten Die über dem Gehäusesubstrat, wobei der erste Die eine erste EA-Bump-Karte umfasst, wobei Bumps der ersten EA-Bump-Karte ein erstes Rastermaß aufweisen; einen zweiten Die über dem Gehäusesubstrat, wobei der zweite Die eine zweite EA-Bump-Karte umfasst, wobei Bumps der zweiten EA-Bump-Karte ein zweites Rastermaß aufweisen, das verschieden von dem ersten Rastermaß ist; und Zwischenverbindungen zwischen der ersten EA-Bump-Karte und der zweiten EA-Bump-Karte.
  2. Elektronisches Gehäuse nach Anspruch 1, wobei die erste Bump-Karte eine erste Breite entlang eines Randes des ersten Die aufweist und wobei die zweite Bump-Karte eine zweite Breite entlang eines Randes des zweiten Die aufweist, wobei die erste Breite mit der zweiten Breite übereinstimmt.
  3. Elektronisches Gehäuse nach Anspruch 1 oder 2, wobei die erste Bump-Karte eine erste Tiefe in den ersten Die hinein aufweist und wobei die zweite Bump-Karte eine zweite Tiefe in den zweiten Die hinein aufweist, wobei die erste Tiefe verschieden von der zweiten Tiefe ist.
  4. Elektronisches Gehäuse nach Anspruch 1, 2 oder 3, wobei sich die Zwischenverbindungen innerhalb des Gehäusesubstrats befinden.
  5. Elektronisches Gehäuse nach Anspruch 1, 2 oder 3, das ferner Folgendes umfasst: eine Brücke, wobei sich die Zwischenverbindungen auf der Brücke befinden.
  6. Elektronisches Gehäuse nach Anspruch 1, 2, 3, 4 oder 5, wobei das erste Rastermaß näherungsweise 55 µm oder mehr beträgt und wobei das zweite Rastermaß näherungsweise 55 µm oder weniger beträgt.
  7. Elektronisches Gehäuse nach Anspruch 1, 2, 3, 4, 5 oder 6, wobei das zweite Rastermaß näherungsweise 45 µm, näherungsweise 36 µm oder näherungsweise 25 µm beträgt.
  8. Elektronisches Gehäuse nach Anspruch 1, 2, 3, 4, 5, 6 oder 7, wobei die erste Bump-Karte eine erste Anzahl an Signal-Bumps aufweist und wobei die zweite Bump-Karte eine zweite Anzahl an Signal-Bumps aufweist, wobei die erste Anzahl an Signal-Bumps gleich der zweiten Anzahl an Signal-Bumps ist.
  9. Elektronisches Gehäuse nach Anspruch 8, wobei die erste Anzahl an Signal-Bumps sechzig ist.
  10. Elektronisches Gehäuse nach Anspruch 1, 2, 3, 4, 5, 6, 7, 8 oder 9, wobei die erste Bump-Karte Folgendes umfasst: ein erstes Sendergebiet; und ein erstes Empfängergebiet, wobei das erste Sendergebiet entlang eines Randes des ersten Die ist; und wobei die zweite Bump-Karte Folgendes umfasst: ein zweites Sendergebiet; und ein zweites Empfängergebiet, wobei das zweite Sendergebiet entlang eines Randes des zweiten Die ist.
  11. Elektronisches Gehäuse, das Folgendes umfasst: ein Gehäusesubstrat mit mehreren Metallschichten, die in dem Gehäusesubstrat eingebettet sind, wobei eine erste Metallschicht, eine dritte Metallschicht und eine fünfte Metallschicht Leistungs- und/oder Masseschichten sind und wobei eine zweite Metallschicht und eine vierte Metallschicht Signalisierungsschichten sind; einen ersten Die über dem Gehäusesubstrat, wobei der erste Die Folgendes umfasst: eine erste Bump-Karte mit einem ersten Sendergebiet und einem ersten Empfängergebiet; einen zweiten Die über dem Gehäusesubstrat, wobei der zweite Die Folgendes umfasst: eine zweite Bump-Karte mit einem zweiten Sendergebiet und einem zweiten Empfängergebiet; und wobei das erste Empfängergebiet durch Kanäle in der zweiten Metallschicht elektrisch mit dem zweiten Empfängergebiet gekoppelt ist und wobei das zweite Sendergebiet durch Kanäle in der vierten Metallschicht elektrisch mit dem ersten Empfängergebiet gekoppelt ist.
  12. Elektronisches Gehäuse nach Anspruch 11, wobei ein Satz von Leistungspads und ein Satz von Massepads von der zweiten Metallschicht depopuliert sind.
  13. Elektronisches Gehäuse nach Anspruch 12, wobei der depopulierte Satz von Leistungspads und die depopulierten Massepads alle der Leistungspads und alle der Massepads unterhalb des zweiten Sendergebiets umfassen.
  14. Elektronisches Gehäuse nach Anspruch 12, wobei keines der Leistungspads und keines der Massepads von der zweiten Metallschicht depopuliert sind.
  15. Elektronisches Gehäuse nach Anspruch 11, 12, 13 oder 14, wobei ein Rand der ersten Bump-Karte von einem Rand der zweiten Bump-Karte versetzt ist.
  16. Elektronisches Gehäuse nach Anspruch 15, wobei die erste Bump-Karte eine erste Breite entlang eines Randes des ersten Die aufweist und wobei die zweite Bump-Karte eine zweite Breite entlang eines Randes des zweiten Die aufweist, wobei die erste Breite gleich der zweiten Breite ist.
  17. Elektronisches Gehäuse nach Anspruch 11, 12, 13, 14, 15 oder 16, wobei die Kanäle in der zweiten Metallschicht und die Kanäle in der vierten Metallschicht die gleiche Länge aufweisen.
  18. Halbleiter-Die, der Folgendes umfasst: ein Halbleitersubstrat, wobei das Halbleitersubstrat Folgendes umfasst: ein EA-Sendergebiet, das mehrere Senderschaltkreise umfasst; und ein EA-Empfängergebiet, das mehrere Empfängerschaltkreise umfasst; mehrere Metallschichten über dem Halbleitersubstrat; mehrere erste Bumps über dem EA-Sendergebiet, wobei einzelne Senderschaltkreise mit einzelnen ersten Bumps ausgerichtet sind; und mehrere zweite Bumps über dem EA-Empfängergebiet, wobei einzelne Empfängerschaltkreise nicht mit einzelnen zweiten Bumps ausgerichtet sind.
  19. Halbleiter-Die nach Anspruch 18, wobei einzelne erste Bumps durch vertikale Zwischenverbindungen durch die mehreren Metallschichten elektrisch mit einzelnen Senderschaltkreisen gekoppelt sind und wobei einzelne zweite Bumps durch vertikale Zwischenverbindungen und ein horizontales Leiterpfadsegment in den mehreren Metallschichten elektrisch mit den einzelnen Empfängerschaltkreisen gekoppelt sind.
  20. Halbleiter-Die nach Anspruch 19, wobei das horizontale Leiterpfadsegment eine Länge aufweist, die näherungsweise 200 µm oder mehr beträgt.
  21. Halbleiter-Die nach Anspruch 18, 19 oder 20, wobei der horizontale Leiterpfad näherungsweise 65 Ohm zu dem elektrischen Kanal zwischen den zweiten Bumps und dem Empfängerschaltkreis hinzufügt.
  22. Halbleiter-Die nach Anspruch 18, 19, 20 oder 21, wobei die mehreren Empfängerschaltkreise in einem Array innerhalb des EA-Empfängergebiets angeordnet sind.
  23. Elektronisches System, das Folgendes umfasst: eine Platine; ein elektronisches Gehäuse, das mit der Platine gekoppelt ist; einen ersten Die über dem Gehäusesubstrat, wobei der erste Die eine erste EA-Bump-Karte umfasst, wobei Bumps der ersten EA-Bump-Karte ein erstes Rastermaß aufweisen; einen zweiten Die über dem Gehäusesubstrat, wobei der zweite Die eine zweite EA-Bump-Karte umfasst, wobei Bumps der zweiten EA-Bump-Karte ein zweites Rastermaß aufweisen, das verschieden von dem ersten Rastermaß ist; und Zwischenverbindungen zwischen der ersten EA-Bump-Karte und der zweiten EA-Bump-Karte.
  24. Elektronisches System nach Anspruch 23, wobei die erste Bump-Karte eine erste Breite entlang eines Randes des ersten Die aufweist und wobei die zweite Bump-Karte eine zweite Breite entlang eines Randes des zweiten Die aufweist, wobei die erste Breite mit der zweiten Breite übereinstimmt.
  25. Elektronisches Gehäuse nach Anspruch 23 oder 24, wobei die erste Bump-Karte eine erste Tiefe in den ersten Die hinein aufweist und wobei die zweite Bump-Karte eine zweite Tiefe in den zweiten Die hinein aufweist, wobei die erste Tiefe verschieden von der zweiten Tiefe ist.
DE102020133243.2A 2020-06-23 2020-12-12 Skalierbare und interoperable phy-freie die-zu-die-ea-lösung Pending DE102020133243A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/910,023 2020-06-23
US16/910,023 US20210398906A1 (en) 2020-06-23 2020-06-23 Scalable and interoperable phyless die-to-die io solution

Publications (1)

Publication Number Publication Date
DE102020133243A1 true DE102020133243A1 (de) 2021-12-23

Family

ID=78823138

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020133243.2A Pending DE102020133243A1 (de) 2020-06-23 2020-12-12 Skalierbare und interoperable phy-freie die-zu-die-ea-lösung

Country Status (5)

Country Link
US (1) US20210398906A1 (de)
JP (1) JP2022003679A (de)
KR (1) KR20210158297A (de)
CN (1) CN113838846A (de)
DE (1) DE102020133243A1 (de)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11658123B2 (en) * 2020-09-25 2023-05-23 Advanced Micro Devices, Inc. Hybrid bridged fanout chiplet connectivity
US11735575B2 (en) * 2021-05-27 2023-08-22 International Business Machines Corporation Bonding of bridge to multiple semiconductor chips
US20230035627A1 (en) * 2021-07-27 2023-02-02 Qualcomm Incorporated Split die integrated circuit (ic) packages employing die-to-die (d2d) connections in die-substrate standoff cavity, and related fabrication methods
US20230109629A1 (en) * 2021-10-01 2023-04-06 Microchip Technology Incorporated Electronic device including interposers bonded to each other
TW202345328A (zh) * 2021-12-30 2023-11-16 美商英特爾股份有限公司 包含可抽換phy電路的微電子晶片和包含該晶片的半導體封裝
US20240006323A1 (en) * 2022-06-29 2024-01-04 Intel Corporation Interconnect bridge with similar channel lengths
US20240020260A1 (en) * 2022-07-13 2024-01-18 Global Unichip Corporation Communication interface structure and die-to-die package
WO2024034303A1 (ja) * 2022-08-10 2024-02-15 株式会社村田製作所 高周波モジュール、通信装置、及び高周波モジュールの製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016118209A2 (en) * 2014-11-05 2016-07-28 Massachusetts Institute Of Technology Multi-layer semiconductor devices fabricated using a combination of substrate and via structures and fabrication techniques
US10586909B2 (en) * 2016-10-11 2020-03-10 Massachusetts Institute Of Technology Cryogenic electronic packages and assemblies
US10535608B1 (en) * 2018-07-24 2020-01-14 International Business Machines Corporation Multi-chip package structure having chip interconnection bridge which provides power connections between chip and package substrate
US11527808B2 (en) * 2019-04-29 2022-12-13 Aptiv Technologies Limited Waveguide launcher

Also Published As

Publication number Publication date
JP2022003679A (ja) 2022-01-11
KR20210158297A (ko) 2021-12-30
US20210398906A1 (en) 2021-12-23
CN113838846A (zh) 2021-12-24

Similar Documents

Publication Publication Date Title
DE102020133243A1 (de) Skalierbare und interoperable phy-freie die-zu-die-ea-lösung
DE102009030524B4 (de) Baugruppe und Verfahren für eine integrierte Schaltung mit mehreren Chips
DE112015007233B4 (de) Mikroprozessorgehäuse mit masseisolationsgewebestruktur mit kontakthöckern auf erster ebene und verfahren zur ausbildung eines masseisolationsgewebestrukturgehäuses aus leitfähigem material
DE112019000670T5 (de) Leistungsabgabeverfahren für eingebettete multidieverbindungsbrücken und verfahren zu deren montage
DE112013005582T5 (de) Kontaktfleckstruktur für eine Silicium-Durchkontaktierung
DE112015007234T5 (de) Vertikale masseebenenisolierung, masseleiter-koaxialisolierung und impedanzabstimmung von durch gehäusevorrichtungen geführten horizontalen datensignalübertragungsleitungen
DE112006000862T5 (de) Induktor
DE112015007202T5 (de) Mikroelektronische vorrichtungen mit eingebetteten substrathohlräumen für datenübertragungen von vorrichtung zu vorrichtung
DE112017006496T5 (de) Skalierbare eingebettete siliziumbrücken-via-säulen in lithographisch definierten vias und verfahren zum herstellen derselben
DE102020103364A1 (de) Substrat-Patch-Rekonstitutionsoptionen
DE102016212796A1 (de) Halbleitervorrichtung mit Struktur zum Verbessern von Spannungsabfall und Vorrichtung, die diese umfasst
DE102020002273B4 (de) Package-oberseiten-eingebettete multi-die-verbindungs-brücke
DE112015006904T5 (de) Kostenreduktion bei hochentwickeltem Knoten durch ESD-Zwischenschalter
DE112016007578T5 (de) Verbindungstruktur für einen gestapelten Die in einem mikroelektronischen Bauelement
DE102018129645A1 (de) Verfahren zum Einbetten magnetischer Strukturen in Substrate
DE112017008031T5 (de) Aktive silizium-brücke
DE112013004261T5 (de) Latch-up-Unterdrückung und Reduktion von Substrat-Rauschkopplung durch eine Substrat-Rückverbindung für integrierte 3D-Schaltungen
DE112015007235T5 (de) Vertikale isolation durch erdungsebenen von, koaxiale isolation durch erdungsleitungen und impedanzanpassung von durch gehäusevorrichtungen geleiteten horizontalen datensignalübertragungsleitungen
DE112016002287T5 (de) Leiterbahnen durch Dielektrikum mit hohem Aspektverhältnis für Halbleitervorrichtungen
DE102020130919A1 (de) Metallene, raumzentrierte standard-zellenarchitektur zum ermöglichen einer höheren zellendichte
DE112017008087T5 (de) Energie-gemeinsame zellenarchitektur
DE112017008115T5 (de) Leistungslieferung für eingebetteten brücken-die unter verwendung von grabenstrukturen
DE102020119632A1 (de) Vorrichtung, System und Verfahren zum Koppeln eines On-Chip-Netzes mit PHY-Schaltungen
DE102013213363A1 (de) Ball Grid Array (BGA) und Leiterplatten (PCB)-Via-Raster zum Reduzieren von Differentialmodus-Übersprechen zwischen differentiellen Sende- und Empfangs-Signalpaaren
DE102022116907A1 (de) Mehrchipgehäuse-treppenhohlräume