DE102013214441A1 - Verfahren zur Verringerung der Benetzbarkeit von Verbindungsmaterial an Eckberührungsflächen und eine gemäß dem Verfahren hergestellte Vorrichtung - Google Patents

Verfahren zur Verringerung der Benetzbarkeit von Verbindungsmaterial an Eckberührungsflächen und eine gemäß dem Verfahren hergestellte Vorrichtung Download PDF

Info

Publication number
DE102013214441A1
DE102013214441A1 DE102013214441.5A DE102013214441A DE102013214441A1 DE 102013214441 A1 DE102013214441 A1 DE 102013214441A1 DE 102013214441 A DE102013214441 A DE 102013214441A DE 102013214441 A1 DE102013214441 A1 DE 102013214441A1
Authority
DE
Germany
Prior art keywords
layer
recess
transition metal
forming
alloy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102013214441.5A
Other languages
English (en)
Other versions
DE102013214441B4 (de
Inventor
Vivian W. Ryan
Hoon Kim
Xunyuan Zhang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102013214441A1 publication Critical patent/DE102013214441A1/de
Application granted granted Critical
Publication of DE102013214441B4 publication Critical patent/DE102013214441B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Verfahren zum Bilden einer Verbindungsstruktur, welches das Bilden einer Vertiefung in einer dielektrischen Schicht eines Substrats umfasst. Eine erste Übergangsmetallschicht ist in der Vertiefung an Eckabschnitten der Vertiefung ausgebildet. Eine zweite Übergangsmetallschicht ist in der Vertiefung über der ersten Übergangsmetallschicht ausgebildet, um die Vertiefung zu beschichten. Die Vertiefung ist mit einer Füllschicht gefüllt. Das Substrat wird ausgeheilt. Die erste Übergangsmetallschicht und die zweite Übergangsmetallschicht bilden während des Ausglühens einen Legierungsbereich neben den Eckabschnitten. Der Legierungsbereich weist eine verringerte Benetzbarkeit für ein Füllschichtmaterial als das zweite Übergangsmetall auf. Das Substrat wird poliert, um Teile der Füllschicht, die sich über der Vertiefung erstrecken, zu entfernen. Eine Vorrichtung gemäß dem Verfahren umfasst eine Legierung aus einem ersten und zweiten Übergangsmetall, die an dem Eckabschnitt angeordnet ist.

Description

  • Querverweis auf verwandte Anmeldungen
    • Nicht anwendbar
  • HINTERGRUND
  • Der offenbarte Gegenstand betrifft im Allgemeinen das Gebiet der Herstellung von Halbleitervorrichtungen, und insbesondere ein Verfahren zur Verringerung der Benetzbarkeit eines Ruthenium-Liners an Eckberührungsflächen und eine gemäß dem Verfahren hergestellte Vorrichtung.
  • Eine herkömmliche integrierte Schaltung, wie etwa ein Mikroprozessor, umfasst in der Regel mehrere tausend Halbleiterbauelemente, beispielsweise Transistoren, die über der Oberfläche eines halbleitenden Substrats gebildet sind. Zur Funktionsfähigkeit der integrierten Schaltung müssen die Transistoren durch leitende Verbindungsstrukturen elektrisch miteinander verbunden werden. Die back-end-of-line Verarbeitung (BEOL) ist der zweite Herstellungsabschnitt von integrierten Schaltungen, bei dem die einzelnen Geräte (Transistoren, Kondensatoren, Widerstände, etc.) mit Verdrahtung auf der Vorrichtung verbunden werden. Die BEOL Verarbeitung beginnt in der Regel mit der Abscheidung der ersten Metallschicht auf dem Wafer. Sie umfasst Kontakte, Isolierschichten (Dielektrika), Metall-Ebenen und Verbindungsstellen für chip-to-package Verbindungen. Viele moderne integrierte Schaltkreise sind sehr dicht bepackt, d. h., es gibt sehr wenig Raum zwischen den über dem Substrat gebildeten Transistoren. Daher müssen diese leitenden Verbindungsstrukturen in mehreren Schichten hergestellt werden, um Flächenraum auf dem Halbleitersubstrat zu erhalten.
  • Die leitenden Verbindungsstrukturen werden in der Regel durch die Bildung einer Vielzahl von leitfähigen Leitungen und leitfähigen Steckern erreicht, die gemeinhin als Kontakte oder Durchgangslöcher bezeichnet werden, die in verschiedenen Schichten aus dielektrischem Material auf der Vorrichtung ausgebildet sind. Wie ohne weiteres für den Fachmann ersichtlich, sind die leitfähigen Stecker Mittel, durch die verschiedenen Schichten von leitfähigen Leitungen, und/oder Halbleitervorrichtungen, elektrisch miteinander gekoppelt werden können. Die leitfähigen Leitungen, die die verschiedenen Verbindungsstrukturen verbinden, werden in der Regel in Gräben gebildet, die in den dielektrischen Schichten definiert sind.
  • Ein Kontakt wird in der Regel verwendet, um eine Verbindungsstruktur (z. B., Verwenden von Polysilizium oder Metall) auf einer darunter liegenden Polysiliziumschicht (z. B., Source/Drain- oder Gate-Bereich eines Transistors) zu definieren, während ein Durchgangsloch eine Metall-auf-Metall Verbindungsstruktur bezeichnet. In jedem Fall wird eine Kontaktöffnung in einer Isolierschicht, die das leitende Element überlagert, gebildet. Eine zweite leitende Schicht wird dann über der Kontaktöffnung gebildet und es wird eine elektrische Verbindung mit dem leitenden Element hergestellt.
  • Ein Verfahren zur Verringerung der Größe der auf der Halbleitervorrichtung gebildeten Strukturen beinhaltet die Verwendung von Kupfer für die Leitungen und Zwischenverbindungen zusammen mit neuen dielektrischen Materialien mit niedrigeren dielektrischen Konstanten als zuvor mit einer herkömmlichen dielektrischen Materialauswahl erreichbar gewesen sind. Dielektrische Standardmaterialien, wie Siliziumdioxid, TEOS und F-TEOS haben Dielektrizitätskonstanten größer als 3. Die neuen dielektrischen Materialien, die in der Regel als Dielektrika mit niedrigem k bezeichnet werden, haben Dielektrizitätskonstanten kleiner als 3, und erlauben aufgrund ihrer effizienteren Isolationsfähigkeiten somit größer Gerätedichten. Ein derartiges Dielektrikum mit niedrigem k wird unter dem Namen Black Diamond von Applied Materials, Inc. verkauft.
  • Typische Zwischenverbindungsstrukturen weisen eine Barriereschicht zur Verhinderung von Elektromigration und eine Keimschicht zur Bereitstellung einer Ausgangsvorlage für die Volumenkupferfüllung, die für die Fertigstellung der Zwischenverbindung erforderlich ist, auf. Durch die kontinuierliche Größenänderung hin zu kleineren Dimensionen muss der Herstellungsprozess für BEOL Metallstrukturen streng kontrolliert werden, um Geometrien in einer Größenordnung von Sub-Nanometer anzusprechen. Ruthenium (Ru) wurde als eine Keimanreicherungsschicht vorgeschlagen, um den Deckungsgrad an Kupferkeimen zu verbessern, wodurch die Kupferfüllung verbessert wird. Eine Rutheniumschicht erlaubt eine direkte Verkupferung, aber die Kupferbenetzbarkeit auf Ruthenium ist so gut, dass keine Kupfervertiefung an der Grenzfläche von Kupfer und Ruthenium an den oberen äußeren Ecken der Metallstrukturen auftritt. Diese Bereiche, die als ”Tripelpunkt” bezeichnet werden, können einen negativen Einfluss auf die Zuverlässigkeit haben. Das Kupfer kann gleich hoch wie der Ruthenium-Liner sein, oder das Kupfer kann sogar über den oberen Rand des Rutheniums kriechen und entlang der Zwischenschicht-Dielektrikum Grenzfläche migrieren, und somit frühzeitige Ausfälle verursachen.
  • Dieser Abschnitt dieses Dokuments soll verschiedene Aspekte des Stands der Technik vorstellen, die sich auf verschiedene Aspekte des im Nachfolgenden beschriebenen und/oder beanspruchten offenbarten Gegenstands beziehen können. Dieser Abschnitt enthält Hintergrundinformationen zum besseren Verständnis der verschiedenen Aspekte des offenbarten Gegenstands. Es sollte verstanden werden, dass die Angaben in diesem Abschnitt dieses Dokuments in diesem Licht zu lesen sind, und nicht als Anerkennung des Standes der Technik. Der offenbarte Gegenstand dient der Überwindung, oder zumindest der Reduzierung der Auswirkungen, eines oder mehrerer der zuvor genannten Probleme.
  • KURZE ZUSAMMENFASSUNG DER AUSFÜHRUNGSFORMEN
  • Die folgende Beschreibung stellt eine vereinfachte Zusammenfassung von nur einigen Aspekten der Ausführungsformen des offenbarten Gegenstands dar, um ein Grundverständnis einiger Aspekte des offenbarten Gegenstands zu vermitteln. Diese Zusammenfassung ist keine vollständige Übersicht über den offenbarten Gegenstand. Es ist nicht beabsichtigt, Schlüsselelemente oder kritische Elemente des offenbarten Gegenstands zu identifizieren oder den Umfang des offenbarten Gegenstands zu beschreiben. Ihr einziger Zweck ist es, einige Konzepte in vereinfachter Form als Einleitung für die im Nachfolgenden beschriebene detailliertere Beschreibung darzustellen.
  • Ein Aspekt des offenbarten Gegenstands umfasst ein Verfahren zur Bildung einer Verbindungsstruktur. Das Verfahren umfasst das Ausbilden einer Vertiefung in einer dielektrischen Schicht eines Substrats. Eine erste Übergangsmetallschicht ist in der Vertiefung an Eckabschnitten der Vertiefung ausgebildet. Eine zweite Übergangsmetallschicht ist in der Vertiefung über der ersten Übergangsmetallschicht ausgebildet, um die Vertiefung zu beschichten. Die Vertiefung ist mit einer Füllschicht gefüllt. Das Substrat wird ausgeheilt. Die erste Übergangsmetallschicht und die zweite Übergangsmetallschicht bilden während des Ausglühens einen Legierungsbereich neben den Eckabschnitten. Der Legierungsbereich weist eine niedrigere Benetzbarkeit für ein Füllschichtmaterial als das zweite Übergangsmetall auf. Das Substrat wird poliert, um Teile der Füllschicht, die sich über der Vertiefung erstrecken, zu entfernen.
  • Ein weiterer Aspekt des offenbarten Gegenstands umfasst eine Halbleitervorrichtung, die eine in einer dielektrischen Schicht definierte Vertiefung mit einem Eckabschnitt aufweist, und eine in der Vertiefung definierte Verbindungsstruktur. Die Verbindungsstruktur umfasst eine Legierung aus einem ersten und zweiten Übergangsmetall, die an dem Eckabschnitt angeordnet ist, eine Seitenwandabschnitte der Vertiefung beschichtende Schicht aus dem zweiten Übergangsmetall, und ein im Wesentlichen die Vertiefung füllendes Füllmaterial. Das zweite Übergangsmetall weist eine höhere Benetzbarkeit für das Füllmaterial als die Legierung auf.
  • KURZE BESCHREIBUNG DER VERSCHIEDENEN ANSICHTEN DER ZEICHNUNG
  • Der offenbarte Gegenstand wird im Folgenden unter Bezugnahme der beigefügten Zeichnungen beschrieben, wobei gleiche Bezugszeichen gleiche Elemente kennzeichnen, und:
  • 1 eine Querschnittsansicht einer teilweise fertig gestellten Verbindungsstruktur zeigt;
  • 2 eine Querschnittsansicht der Struktur der 1 zeigt, die die Bildung einer Barriereschicht darstellt;
  • 3 eine Querschnittsansicht der Struktur der 2 zeigt, die die Bildung einer Übergangsmetallschicht in Ecken der Verbindungsstruktur darstellt;
  • 4 eine Querschnittsansicht der Struktur der 3 zeigt, die die Bildung einer Ruthenium-Schicht darstellt;
  • 5 eine Querschnittsansicht der Struktur der 4 zeigt, die einen Kupferauffüllungsprozess darstellt;
  • 6 eine Querschnittsansicht der Struktur der 5 zeigt, die einen Kupferausglühungsprozess darstellt, um Legierungsbereiche in den Ecken zu bilden; und
  • 7 eine Querschnittsansicht der Struktur der 6 zeigt, die einen Poliervorgang darstellt, um überschüssiges Kupfer zu entfernen und das Kupfer in den Ecken zu vertiefen.
  • Während der offenbarte Gegenstand verschiedenen Modifikationen und alternativen Formen unterworfen werden kann, sind spezielle Ausführungsformen davon beispielhaft in den Zeichnungen gezeigt und hierin detailliert beschrieben. Es sollte jedoch verstanden werden, dass die vorliegende Beschreibung spezieller Ausführungsformen den offenbarten Gegenstand nicht auf die besonderen offenbarten Formen beschränken soll, sondern es ist vielmehr die Absicht, alle Modifikationen, Äquivalente und Alternativen, die unter den Geist und den Umfang des offenbarten Gegenstands fallen, wie durch die beigefügten Ansprüche definiert, abzudecken.
  • DETAILLIERTE BESCHREIBUNG
  • Eine oder mehrere spezifische Ausführungsformen des offenbarten Gegenstands werden im Nachfolgenden beschrieben. Es ist insbesondere beabsichtigt, dass der offenbarte Gegenstand nicht auf die darin enthaltenen Ausführungsbeispiele und Figuren beschränkt wird, sondern modifizierte Formen dieser Ausführungsformen einschließt, darunter auch Teile der Ausführungsformen und Kombinationen von Elementen unterschiedlicher Ausführungsformen, wie sie innerhalb des Umfangs der nachfolgenden Ansprüche fallen. Es sollte beachtet werden, dass bei der Entwicklung jeglicher tatsächlichen Implementierung, wie bei jedem technischen oder konstruktionsabhängigen Projekt, zahlreiche implementierungsspezifische Entscheidungen getroffen werden müssen, um entwicklerspezifische Ziele, wie die Einhaltung systembezogener und unternehmensbezogener Einschränkungen, zu erreichen, die von einer Implementierung zur anderen variieren können. Darüber hinaus versteht es sich, dass ein solcher Entwicklungsaufwand komplex und zeitaufwändig sein kann, aber dennoch eine Routinetätigkeit hinsichtlich Konstruktion, Fabrikation und Herstellung für den Fachmann darstellen würde, der die Vorteile dieser Offenbarung nutzt.
  • Nichts in dieser Anmeldung wird als kritisch oder wesentlich für den offenbarten Gegenstand betrachtet, sofern nicht ausdrücklich als ”kritisch” oder ”wesentlich” angegeben.
  • Der offenbarte Gegenstand wird nun unter Bezugnahme auf die beigefügten Figuren beschrieben. Verschiedene Strukturen, Systeme und Geräte sind in den Zeichnungen nur zum Zwecke der Erläuterung schematisch dargestellt und um den offenbarten Gegenstand nicht mit Details zu verschleiern, die dem Fachmann bekannt sind. Dennoch sind die beigefügten Zeichnungen enthalten, um veranschaulichende Beispiele des offenbarten Gegenstands zu beschreiben und zu erklären. Die hierin verwendeten Wörter und Sätze sollen so verstanden und interpretiert werden, dass deren Bedeutung im Einklang mit dem Verständnis der von Fachleuten verwendeten Wörter und Sätze ist. Keine besondere Definition von einem Begriff oder Satz, d. h., eine Definition, die sich von der gewöhnlichen und üblichen Bedeutung, wie sie von Fachleuten verstanden wird, unterscheidet, soll hierin durch die konsequente Nutzung des Begriffs oder Satzes impliziert werden. Soweit für einen Begriff oder Satz eine besondere Bedeutung vorgesehen ist, d. h., eine andere Bedeutung als von Fachleuten verstanden, ist eine solche spezielle Definition in der Beschreibung ausdrücklich in definitorischer Weise dargelegt, die direkt und eindeutig die spezielle Definition für den Begriff oder Satz beschreibt.
  • Unter Bezugnahme auf die Zeichnungen, in denen gleiche Bezugszeichen ähnlichen Komponenten in den verschiedenen Ansichten entsprechen und, insbesondere, unter Bezugnahme auf 1, ist der offenbarte Gegenstand im Zusammenhang mit einem Verfahren zur Herstellung einer Verbindungsstruktur beschrieben. 1 ist eine Querschnittsansicht einer teilweise fertig gestellten Zwischenverbindungsstruktur 10. Eine dielektrische Schicht 20 ist auf einem Substrat 25 vorgesehen. Die dielektrische Schicht kann eine dielektrische Zwischenschicht darstellen, die zwischen einer Geräteschicht oder Metallisierungsschicht und einer weiteren Metallisierungsschicht angeordnet ist. In einer Ausführungsform kann die dielektrische Schicht 20 einen niedrigen Dielektrizitätskoeffizienten, der gemeinhin als Dielektrikum mit niedrigem k bezeichnet wird, aufweisen. Ein derartiges geeignetes Dielektrikumsmaterial mit niedrigem k ist Black Diamond, das von Applied Materials, Inc. angeboten wird. Eine Hartmaskenschicht 30 wird als Vorlage zum Ätzen einer Vertiefung 40 gebildet. In der dargestellten Ausführungsform ist die Vertiefung 40 ein Graben, in dem eine Verbindungsleitungsstruktur gebildet wird, wobei jedoch die hierin beschriebenen Verfahren auch für andere Arten von Verbindungen verwendet werden können, wie etwa Durchgangslochstrukturen oder Dual-Damaszener-Graben sowie Durchgangslochstrukturen, bei denen ein darunterliegender Metallbereich durch die Zwischenverbindungsstruktur kontaktiert wird. Daher kann die Vertiefung 40 ein Graben, eine Durchgangslochöffnung oder eine Kombination aus Graben und Durchgangslochöffnung sein.
  • Wie in 2 gezeigt, wird eine Barriereschicht 50 gebildet, um die Vertiefung 40 zu beschichten. Die Barriereschicht 50 dient dazu, das Kupfer zu beschränken, das verwendet wird, um die Vertiefung 40 letztendlich zu füllen, so dass es nicht in die dielektrische Schicht 40 wandert. Beispielhafte Barrierematerialien umfassen Tantalnitrid (TaN), das durch physikalische Dampfabscheide-(PVD)- oder Atomschichtabscheide-(ALD)-Verfahren gebildet werden kann, die aus dem Stand der Technik bekannt sind.
  • Wie in 3 gezeigt, wird eine erste Übergangsmetallschicht 60 an oberen Ecken 70 der Vertiefung 40 über der Barriereschicht 40 gebildet. Beispielhafte Übergangsmetalle können jedes Element in dem d-Block des Periodensystems umfassen, der die Gruppen 3 bis 12 des Periodensystems umfasst. In der dargestellten Ausführungsform, wird Titan (Ti) verwendet, um die erste Übergangsmetallschicht 60 zu bilden. Ein plasmaunterstütztes PVD-Verfahren kann ohne Vorspannung eingesetzt werden, um die erste Übergangsmetallschicht 60 in den Ecken 70 zu bilden. In einigen Ausführungsformen kann ein CVD-Verfahren als Alternative zu dem PVD-Verfahren eingesetzt werden. Durch das Aspektverhältnis der Vertiefung 40 bedeckt die Übergangsmetallschicht 60 im Wesentlichen nicht die Seitenwand- oder Bodenbereiche der Vertiefung 40. Streupartikel des Übergangsmetalls können sich an den Seitenwand- oder Bodenbereichen bilden, aber aufgrund der fehlenden Vorspannung, um die Partikel auf den Boden zu ziehen, konzentriert sich die Übergangsmetallschicht 60 in den Ecken 70. In einer Ausführungsform beträgt die Dicke der Übergangsmetallschicht 60 etwa 5 bis 30 Ǻngström.
  • Eine zweite Übergangsmetallschicht 80 (d. h., jedes d-Block-Metall) mit einer Kupferbenetzbarkeit größer als die erste Übergangsmetallschicht 60 wird unter Verwendung eines chemischen Dampfabscheideverfahrens in 4 gebildet. In der dargestellten Ausführungsform umfasst die zweite Übergangsmetallschicht Ruthenium (Ru), jedoch umfassen andere verwendbare Übergangsmetalle mit relativ hohen Benetzbarkeiten Osmium, Rhodium, Palladium, Platin, Iridium und Niob. In einer Ausführungsform beträgt die Dicke der zweite Übergangsmetallschicht 80 etwa 10 bis 25 Ǻngström.
  • Die zweite Übergangsmetallschicht 80 kleidet die Vertiefung 40 vollständig aus und stellt eine benetzbare Oberfläche für eine anschließende Kupferfüllung bereit. In 5 wird eine Kupferkeimschicht (nicht gezeigt) gebildet und die Volumenkupferbefüllung wird durchgeführt, um eine Kupferfüllschicht 90 zu bilden.
  • In 6 wird ein Ausglühungsprozess durchgeführt, um die Korngrenzen neu auszurichten und die Korngröße der Kupferschicht 90 zu erhöhen. Während des Ausglühens bildet die erste Übergangsmetallschicht 60 mit der zweiten Übergangsmetallschicht 80 eine Legierungsschicht 100 in den Eckabschnitten 70. In einer Ausführungsform wird das Ausglühen bei Temperaturen zwischen etwa 100–400°C für etwa 0,5 bis 2,0 Stunden durchgeführt. Anschließend wird ein chemisch-mechanisches Polier-(CMP)-Verfahren durchgeführt, um überschüssiges Kupferfüllmaterial 90 zu entfernen. Während des Poliervorgangs werden die horizontalen Abschnitte der Legierungsschicht 100, der Barriereschicht 50 und der Hartmaskenschicht 30 entfernt, wodurch die in 7 gezeigte Struktur erzielt wird.
  • Die Legierungsschicht 100 hat verglichen mit der zweiten Übergangsmetallschicht 80 eine verringerte Benetzbarkeit. Das erste Übergangsmetall in der Legierungsschicht 100 bildet ein Oxid an der Eckgrenzfläche (z. B., TiOx). Das Oxid bewirkt, dass die Kupferschicht 90 an der Eckgrenzfläche 70 entnetzt wird. Spalten 110 werden aufgrund des verspannungsunterstützten Ätzens, das verwendet wird, um den CMP-Prozess durchzuführen, in den Ecken 70 erzeugt, wo das Kupfer 90 in den Ecken 70 vertieft wird. Die Spalten 110 isolieren das Kupfer 90 von dem Tripelpunkt der Verbindungsstruktur 10.
  • Die Verwendung der zweiten Übergangsmetallschicht 80 ermöglicht eine verbesserte Kupferbefüllung durch zunehmende Benetzbarkeit. Die Bildung der Legierungsschicht 100 während des Kupferausglühens führt zu einer verringerten Benetzbarkeit in den Eckabschnitten 70 während des CMP-Prozesses, wodurch das Kupfer 90 vertieft wird. Das vertiefte Kupfer 90 verbessert die zeitabhängige dielektrische Durchschlag-(TDDB)-Zuverlässigkeit der Verbindungsstruktur 10, weil die schlechte Kupferbenetzbarkeit am Grabenrand die Kupferdiffusion auf die dielektrische Oberfläche verzögert, wodurch die Zuverlässigkeit verschlechtert wird.
  • Die zuvor offenbarten besonderen Ausführungsformen dienen lediglich der Veranschaulichung, da der offenbarte Gegenstand in verschiedenen, aber äquivalenten Weisen modifiziert und ausgeübt werden kann, wie dies für den Fachmann offensichtlich ist, der die Vorteile der Lehren hierin nutzt. Ferner sind keine Einschränkungen hinsichtlich der hierin gezeigten Details des Aufbaus oder der Konstruktion beabsichtigt, außer wie in den nachfolgenden Ansprüchen beschrieben. Es ist daher offensichtlich, dass die zuvor offenbarten speziellen Ausführungsformen geändert oder modifiziert werden können, und, dass alle derartigen Änderungen innerhalb des Umfangs und Geistes des offenbarten Gegenstands fallen. Dementsprechend ist der Schutzbereich hierin durch die nachfolgenden Ansprüche definiert.

Claims (20)

  1. Verfahren, umfassend: Bilden einer Vertiefung in einer dielektrischen Schicht eines Substrats; Bilden einer ersten Übergangsmetallschicht in der Vertiefung an Eckabschnitten der Vertiefung, Bilden einer zweiten Übergangsmetallschicht in der Vertiefung über der ersten Übergangsmetallschicht, um die Vertiefung zu beschichten; Füllen der Vertiefung mit einer Füllschicht, Ausglühen des Substrats, wobei die erste Übergangsmetallschicht und die zweite Übergangsmetallschicht während des Ausglühens einen Legierungsbereich neben den Eckabschnitten bilden, wobei der Legierungsbereich eine verringerte Benetzbarkeit für ein Füllschichtmaterial als das zweite Übergangsmetall aufweist; und Polieren des Substrats, um Teile der Füllschicht zu entfernen, die sich über der Vertiefung erstrecken.
  2. Verfahren nach Anspruch 1, wobei die Füllschicht Kupfer umfasst.
  3. Verfahren nach Anspruch 1, wobei das Füllen der Vertiefung das Bilden einer Keimschicht über der zweiten Übergangsmetallschicht und das Bilden von Füllschichtmaterial über der Keimschicht umfasst.
  4. Verfahren nach Anspruch 1, wobei die zweite Übergangsmetallschicht Ruthenium umfasst.
  5. Verfahren nach Anspruch 4, wobei die erste Übergangsmetallschicht Titan umfasst.
  6. Verfahren nach Anspruch 1, wobei das Polieren des Wafers das Bilden einer Vertiefung zwischen der Füllschicht und dem Legierungsbereich neben dem Eckabschnitt umfasst.
  7. Verfahren nach Anspruch 1, wobei das erste Übergangsmetall im Wesentlichen an Seitenwand- und in Bodenbereichen der Vertiefung fehlt.
  8. Verfahren nach Anspruch 1, das ferner das Bilden einer Barriereschicht in der Vertiefung vor dem Bilden der ersten Übergangsmetallschicht umfasst.
  9. Verfahren nach Anspruch 8, wobei die Barriereschicht Tantalnitrid umfasst.
  10. Verfahren nach Anspruch 1, wobei die Vertiefung einen Graben umfasst.
  11. Verfahren nach Anspruch 1, wobei die Vertiefung eine Durchgangsöffnung umfasst.
  12. Verfahren, umfassend: Bilden einer Vertiefung in einer dielektrischen Schicht eines Substrats; Bilden einer Titanschicht in der Vertiefung an Eckabschnitten der Vertiefung; Bilden einer Rutheniumschicht über der Titanschicht, um die Vertiefung zu beschichten; Füllen der Vertiefung mit Kupfer; Ausglühen des Substrats, wobei die Titanschicht und die Rutheniumschicht während des Ausglühens einen Legierungsbereich neben den Eckabschnitten bilden; und Polieren des Substrats, um Teile des Kupfers zu entfernen, die sich über der Vertiefung erstrecken.
  13. Verfahren nach Anspruch 12, das ferner das Bilden einer Barriereschicht in der Vertiefung vor dem Bilden der Titanschicht umfasst.
  14. Halbleitervorrichtung, umfassend: eine Vertiefung mit einem Eckabschnitt, die in einer dielektrischen Schicht definiert ist; eine in der Vertiefung definierte Verbindungsstruktur, wobei die Verbindungsstruktur umfasst: eine Legierung aus einem ersten und zweiten Übergangsmetall, die an dem Eckabschnitt angeordnet ist; eine Schicht aus dem zweiten Übergangsmetall zur Beschichtung von Seitenwandabschnitten der Vertiefung; und ein im Wesentlichen die Vertiefung füllendes Füllmaterial, wobei das zweite Übergangsmetall eine höhere Benetzbarkeit für das Füllmaterial als die Legierung aufweist.
  15. Vorrichtung nach Anspruch 14, die ferner eine Barriereschicht umfasst, die in der Vertiefung unterhalb der Legierungsschicht und der zweiten Übergangsmetallschicht angeordnet ist.
  16. Vorrichtung nach Anspruch 14, wobei das zweite Übergangsmetall Ruthenium umfasst.
  17. Vorrichtung nach Anspruch 18, wobei das erste Übergangsmetall Titan umfasst.
  18. Halbleitervorrichtung, umfassend: eine Vertiefung mit einem Eckabschnitt, die in einer dielektrischen Schicht definiert ist; eine in der Vertiefung definierte Verbindungsstruktur, wobei die Verbindungsstruktur umfasst: eine Legierung aus Titan und Ruthenium, die an dem Eckabschnitt angeordnet ist; eine Seitenwandabschnitte der Vertiefung beschichtende Schicht aus Ruthenium; und im Wesentlichen die Vertiefung füllendes Kupfer.
  19. Vorrichtung nach Anspruch 18, die ferner eine Barriereschicht umfasst, die in der Vertiefung unterhalb der Legierung und der Schicht aus Ruthenium angeordnet ist.
  20. Vorrichtung nach Anspruch 19, wobei die Barriereschicht Tantalnitrid umfasst.
DE102013214441.5A 2012-07-30 2013-07-24 Verfahren zur Verringerung der Benetzbarkeit von Verbindungsmaterial an Eckberührungsflächen und eine gemäß dem Verfahren hergestellte Vorrichtung Active DE102013214441B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/561,195 US8722534B2 (en) 2012-07-30 2012-07-30 Method for reducing wettability of interconnect material at corner interface and device incorporating same
US13/561,195 2012-07-30

Publications (2)

Publication Number Publication Date
DE102013214441A1 true DE102013214441A1 (de) 2014-02-13
DE102013214441B4 DE102013214441B4 (de) 2019-03-28

Family

ID=49994091

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013214441.5A Active DE102013214441B4 (de) 2012-07-30 2013-07-24 Verfahren zur Verringerung der Benetzbarkeit von Verbindungsmaterial an Eckberührungsflächen und eine gemäß dem Verfahren hergestellte Vorrichtung

Country Status (6)

Country Link
US (2) US8722534B2 (de)
KR (1) KR20140016195A (de)
CN (1) CN103579098B (de)
DE (1) DE102013214441B4 (de)
SG (1) SG196744A1 (de)
TW (1) TWI536498B (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371925A1 (en) * 2014-06-20 2015-12-24 Intel Corporation Through array routing for non-volatile memory
US9711464B2 (en) 2015-09-23 2017-07-18 International Business Machines Corporation Semiconductor chip with anti-reverse engineering function
US9960240B2 (en) 2015-10-21 2018-05-01 International Business Machines Corporation Low resistance contact structures for trench structures
US10304773B2 (en) 2015-10-21 2019-05-28 International Business Machines Corporation Low resistance contact structures including a copper fill for trench structures
US10170439B1 (en) 2017-09-29 2019-01-01 Globalfoundries Inc. Chamfering for stress reduction on passivation layer
US11004735B2 (en) 2018-09-14 2021-05-11 International Business Machines Corporation Conductive interconnect having a semi-liner and no top surface recess

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960010056B1 (ko) * 1992-12-10 1996-07-25 삼성전자 주식회사 반도체장치 및 그 제조 방법
JPH09153470A (ja) * 1995-12-01 1997-06-10 Hitachi Ltd 半導体装置の成膜方法
JPH1064902A (ja) * 1996-07-12 1998-03-06 Applied Materials Inc アルミニウム材料の成膜方法及び成膜装置
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
FR2851258B1 (fr) * 2003-02-17 2007-03-30 Commissariat Energie Atomique Procede de revetement d'une surface, fabrication d'interconnexion en microelectronique utilisant ce procede, et circuits integres
US7605082B1 (en) * 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8336204B2 (en) * 2009-07-27 2012-12-25 International Business Machines Corporation Formation of alloy liner by reaction of diffusion barrier and seed layer for interconnect application
US7956463B2 (en) 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings

Also Published As

Publication number Publication date
KR20140016195A (ko) 2014-02-07
US9209135B2 (en) 2015-12-08
US20140027910A1 (en) 2014-01-30
TW201411777A (zh) 2014-03-16
US8722534B2 (en) 2014-05-13
CN103579098B (zh) 2016-10-05
CN103579098A (zh) 2014-02-12
US20140210088A1 (en) 2014-07-31
SG196744A1 (en) 2014-02-13
TWI536498B (zh) 2016-06-01
DE102013214441B4 (de) 2019-03-28

Similar Documents

Publication Publication Date Title
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE102013214441B4 (de) Verfahren zur Verringerung der Benetzbarkeit von Verbindungsmaterial an Eckberührungsflächen und eine gemäß dem Verfahren hergestellte Vorrichtung
DE102010030760B4 (de) Halbleiterbauelement mit Durchgangskontaktierungen mit einem Verspannungsrelaxationsmechanismus und Verfahren zur Herstellung eines solchen
DE10194958B4 (de) Verfahren zur Herstellung einer Sperr-/ Haftschicht und einer Kristallkeimschicht in einer integrierten Schaltkreisanordnung und zugehörige integrierte Schaltkreisanordnung
DE60133155T2 (de) In Verbindungsschicht eingebettete Metall-Isolator-Metall Kapazität und Verfahren zur Herstellung
DE102019212488B4 (de) Verbindungen gebildet durch eine Metallverdrängungsreaktion
DE102010047806B4 (de) Integrierte Schaltkreise und Verfahren zum Ausbilden der integrierten Schaltkreise
DE10351875A1 (de) Integriertes Schaltkreisbauelement und Halbleiterbauelement mit MIM-Kondensator
DE112010003659T5 (de) Leitfähige Struktur für schmale Verbindungsöffnungen
DE102013104112A1 (de) Verbindungsstruktur und -verfahren
DE102014110645A1 (de) Hybrid-Kupferstruktur zur Verwendung in fortgeschrittener Verbindung
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102004004532A1 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102017203568A1 (de) Verfahren zum bilden von leitfähigen strukturen mit unterschiedlichen materialzusammensetzungen in einer metallisierungsschicht
DE112005001961T5 (de) Integrierte Hartmaske mit niedrigem K-Wert
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE102005047111B3 (de) Verfahren zur Herstellung eines MIM-Kondensators
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
DE112004001530T5 (de) Versiegelte Poren in Damascene-Strukturen mit Low-k-Material
DE112021000239T5 (de) Verbindungsstrukturen mit rutheniumauskleidung mit kobaltinfusion und einer kobaltabdeckung
DE102004003863B4 (de) Technik zur Herstellung eingebetteter Metallleitungen mit einer erhöhten Widerstandsfähigkeit gegen durch Belastung hervorgerufenen Materialtransport
DE112020003222T5 (de) Zwischenverbindungsanordnung mit vollständig ausgerichteten durchkontakten
DE112019003036B4 (de) Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren
DE102014019447A1 (de) Verfahren zur Herstellung von integrierten Halbleiterschaltungen
DE102018206436B4 (de) Mit Kobalt und Barrierenlinern beschichtete Verdrahtungsgräben über Kobalt-gefüllten Via-Strukturen sowie entsprechendes Herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE