CN103579098A - 用来减少角落接口的接口材料的可湿性的方法及相关装置 - Google Patents

用来减少角落接口的接口材料的可湿性的方法及相关装置 Download PDF

Info

Publication number
CN103579098A
CN103579098A CN201310325245.7A CN201310325245A CN103579098A CN 103579098 A CN103579098 A CN 103579098A CN 201310325245 A CN201310325245 A CN 201310325245A CN 103579098 A CN103579098 A CN 103579098A
Authority
CN
China
Prior art keywords
recess
layer
conversion metal
metal level
corner portions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310325245.7A
Other languages
English (en)
Other versions
CN103579098B (zh
Inventor
张洵渊
H·金
V·W·瑞安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN103579098A publication Critical patent/CN103579098A/zh
Application granted granted Critical
Publication of CN103579098B publication Critical patent/CN103579098B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及用来减少角落接口的接口材料的可湿性的方法及相关装置,其中,一种用来形成互连结构的方法,包含在基底的介电层中形成凹部。第一转换金属层形成在该凹部的角落部分上的该凹部中。第二转换金属层形成在该第一转换金属层上方的该凹部中,以作为该凹部的衬里。该凹部以填充层填充。该基底被退火。该第一转换金属层和该第二转换金属层在该退火期间,形成靠近该角落部分的合金部分。该合金部分对于该填充层的材料具有较该第二转换金属层减少的可湿性。该基底被研磨,以移除该填充层在该凹部上方延伸的部分。依据该方法所作成的装置包含设置在该角落部分上的第一和第二转换金属的合金。

Description

用来减少角落接口的接口材料的可湿性的方法及相关装置
技术领域
本发明大致上是关于半导体装置制造的领域,尤其是关于一种用来减少角落接口处的钌衬里的可湿性的方法及依据该方法所作成的装置。
背景技术
传统的集成电路装置(例如,微处理器)通常包含数以千计的半导体装置(例如,晶体管),其形成在半导体基底的表面上方。为了使该集成电路装置能作用,该等晶体管必需透过导电的互连结构而彼此电性连接。后端工艺(back end of line,BEOL)为集成电路制程的第二部分,在该第二部分中,个别装置(晶体管、电容器、电阻器等)互连至装置上的电线。后端工艺通常于第一层金属设置在该晶圆上时开始,其包含接点、绝缘层(介电质)、金属层及用于芯片-至-封装件连接的打线位置。许多现代的集成电路装置是非常紧密的包装,也就是在该基底的上方所形成的晶体管之间仅有非常小的空间。因此,这些导体的互连结构必需作成许多层,以在该半导体基底上保留绘图空间。
该导电的互连结构通常是通过在该装置上所形成的不同介电材料层中形成多个导电线和导电栓(通常称为接点或通孔)来完成。本领域中的熟习技术者皆知,该导电栓是不同层的导电线及/或半导体装置得以彼此电性连接的构件。连接不同互连结构的导电线通常是在定义在介电层中的沟槽中形成。
接点通常是用来定义至下方多晶硅层(例如,晶体管的源极/漏极或栅极区域)的互连结构(例如,使用多晶硅或金属),但通孔却是指至金属互连结构的金属。在任何一种案例中,接点开口是形成在该导电组件上方的绝缘层中。第二导电层接着形成在该接点开口上方,并建立与该导电组件的电性通讯。
一种用来减少该半导体装置上所形成的特征的尺寸的技术,涉及使用铜作为衬里和互连,并连同新的介电材料,新的介电材料所具有的介电常数低于先前用一般介电材料选择所完成者。标准的介电材料(例如,二氧化硅、TEOS和F-TEOS)具有大于3的介电常数。该新的介电材料(通称为低介电常数介电质)具有小于3的介电常数,因此,可由于其较有效的隔离能力而允许较大的装置密度。一种这样的低介电常数介电质是由应用材料公司以Black Diamond这个名称贩卖。
通常的互连特征包含阻障层和晶种层,其中,该阻障层是用来抑制电子迁移,而该晶种层则提供完成该互连所需的大块铜填充的起始模板。由于持续地缩减至较小尺寸,因此,后端工艺金属特征的制作程序必需紧密地控制,以因应次奈米等级的几何学。已提出钌(Ru)作为一种晶种强化层,以改进该铜晶种覆盖,从而强化该铜填充。钌层允许直接的镀铜,但钌上的铜可湿性太好,以致于在该金属特征的上外部角落处的铜和钌接口处没有铜凹部。这些区域(称为“三重点”(triple points))对可靠性有负面影响。该铜与该钌衬里可具有相同的高度,或者该铜可甚至在该钌的顶部的上方,并沿着该内层-介电质接口迁移,以减少早期失败。
此文件的这个部分打算引进不同态样的技术,这些技术是关于以下所描述及/或请求的本发明的不同态样。此部分提供背景信息,以促进本发明的不同态样的更佳了解。应了解到此文件的这个部分的陈述应以这种观点加以阅读,而并非承认为先前技术。本发明是关于克服、或至少减少以上所提出的一个或多个问题的效应。
发明内容
下文呈现本发明的实施例的一些态样的简化总结,以为了提供本发明的一些态样的基本了解。此总结并非本发明的详尽综观。并不打算识别本发明的关键或重要组件、或描绘本发明的范围。其唯一的目的仅在于以简化的形式呈现一些概念,以作为接下来所讨论的更详细描述的序文。
本发明的一个态样见诸于用来形成互连结构的方法。该方法包含在基底的介电层中形成凹部。第一转换金属层是形成在该凹部的角落部分上的该凹部中。第二转换金属层是形成在该第一转换金属层上方的该凹部中,以作为该凹部的衬里。该凹部以填充层填充。该基底被退火。该第一转换金属层和该第二转换金属层在该退火期间,形成靠近该角落部分的合金部分。该合金部分对于该填充层的材料具有较该第二转换金属低的可湿性。该基底被研磨,以移除该填充层在该凹部上方延伸的部分。
本发明还提供一种方法,包含:在基底的介电层中形成凹部;在该凹部的角落部分上的该凹部中形成钛层;在该钛层上方形成钌层,以作为该凹部的衬里;以铜填充该凹部;退火该基底,其中,该钛层和该钌层在该退火期间,形成靠近该角落部分的合金部分;以及研磨该基底,以移除该铜在该凹部上方延伸的部分。
本发明的另一个态样见诸于半导体装置,其包含:凹部,具有定义在介电层中的角落部分;以及互连结构,定义在该凹部中。该互连结构包含:第一和第二转换金属的合金,设置在该角落部分上;一层该第二转换金属,作为该凹部的侧壁部分的衬里;以及填充材料,实质地填充该凹部。该第二转换金属对于该填充材料具有较该合金高的可湿性。
本发明还提供一种半导体装置,包含:凹部,具有定义在介电层中的角落部分;互连结构,定义在该凹部中,该互连结构包含:钛和钌的合金,设置在该角落部分上;钌层,作为该凹部的侧壁部分的衬里;以及铜,实质地填充该凹部。
附图说明
本发明之后将参考伴随的图式加以描述,其中,相同的参考编号指相同的组件,并且:
图1是部分完成的互连结构的剖面图;
图2是例示形成阻障层的图1的结构的剖面图;
图3是例示在该互连结构的角落处形成转换金属层的图2的结构的剖面图;
图4是例示形成钌层的图3的结构的剖面图;
图5是例示铜填充程序的图4的结构的剖面图;
图6是例示铜退火程序的图5的结构的剖面图,以在该角落处形成合金区域;以及
图7是例示研磨程序的图6的结构的剖面图,以移除过剩铜并在该角落处凹陷铜。
虽然本发明可有各种的修正和不同的形式,但其特定的实施例已通过图式中的例子加以显示,并在此处加以详尽地描述。然而,应了解到此处的特定实施例的描述并不打算将本发明限制至所揭露的特定形式,相反地,该意图是涵盖落于由附加的权利要求所定义的本发明的精神和范围内的所有修正、等效物和替代物。
具体实施方式
本发明的一个或多个特定实施例将在下文中加以描述,本发明特别不打算限制至包含在此处的实施例和例示,而是包含一些实施例的修正形式,该等实施例包含该等实施例的部分及在先前的权利要求的范围内所出现的不同实施例的组件的组合。应体会到在任何这种实际实作的发展中,就像在任何工程或设计计划中,必需作出各种实施特定的决定,以完成发展者的特定目标,例如符合与系统有关和与商业有关的限制,这些限制随着实作的不同而有所改变。此外,应体会到这种发展努力可能是复杂且耗时的,但对于本领域中那些具有本发明的利益的通常技术者而言,却是设计、制作、及制造的例常工作。此申请案中没有东西被认为是本发明的关键或不可或缺的,除非明确地表示为“关键的”或“不可或缺的”。
本发明将参考附加的图式加以描述。不同的结构、系统和装置是示意地描绘在图式中,以只为了解释的目的,而不致于以本领域中的熟习技术者已知的细节来模糊本发明。然而,包含该附加的图式,以描述和解释本发明的例示例子。此处所使用的文字和词句,应了解和解读成具有与相关领域中的熟习技术者对于这些文字和词句的了解一致的意义。不打算术语或词句有与此处的术语或词句的一致用法所暗示的特别定义,也就是与本领域中的熟习技术者所了解的通常意义不同的定义。如果术语或词句打算具有特别的定义(也就是不同于熟习技术者所了解的定义),则这种特别的定义将以明确的方式在说明书中明白地提出,并且毫不模棱两可地提供该术语或词句的特别定义。
现在参考图式,其中,相同的参考编号在一些图式中(特别是参考图1)对应于类似的组件,本发明将以用来制作互连结构的方法加以描述。图1是部分完成的互连结构10的剖面图。介电层20已经设置在基底25上。该介电层可代表设置于装置层或金属化层与另一金属化层之间的内层介电层。在一个实施例中,该介电层20可具有低介电系数,通称为低介电常数介电质。一个这种适当的低介电常数介电质材料是由应用材料公司所提供的Black Diamond。形成硬掩膜层30,以提供用来蚀刻凹部40的模板。在该例示的实施例中,该凹部40是沟槽,在该沟槽中有形成互连线特征,然而,此处所描述的方法也可应用至其它类型的互连,例如通孔结构或双镶嵌(damascene)沟槽或通孔结构,其中,下方的金属区域被该互连特征接触。因此,该凹部40可为沟槽、通孔开口、或沟槽与通孔开口的组合。
如图2中所例示的,形成阻障层50,以作为该凹部40的衬里。该阻障层50用来限制用来填充该凹部40的铜,以使该铜不会移动进入该介电层40内。例示的阻障材料包含氮化钽(TaN),其可由本领域中已知的物理气相沉积(PVD)或原子层沉积(ALD)程序加以形成。
如图3中所例示的,第一转换金属层60是形成在该凹部40在该阻障层40上方的上角落70上。例示的转换金属可包含周期表的d-区块中的任何元素,其包含周期表上的第3至12族。在该例示的实施例中,钛(Ti)是用来形成该第一转换金属层60。电浆辅助PVD程序可用来以没有偏压的方式,在该角落70上形成该第一转换金属层60。在一些实施例中,可使用CVD程序来代替该PVD程序。由于该凹部40的深宽比(aspect ratio),因此,该转换金属层60不会实质地覆盖该凹部40的侧壁或底部分。该转换金属的游离粒子(stray particle)可形成在该侧壁或底部分上,但由于缺少偏压来将该粒子吸引至该底部,因此,该转换金属层60是集中在该角落70处。在一个实施例中,该转换金属层60的厚度大约是5至30埃。
具有大于该第一转换金属层60的铜可湿性的第二转换金属层80(也就是,该d-区块金属的任一者)是使用图4中的化学气相沉积程序来加以形成。在该例示的实施例中,该第二转换金属层是钌(Ru),但其它具有相对高可湿性的可使用的转换金属为锇、铑、钯、铂、铱、及铌。在一个实施例中,该第二转换金属层80的厚度大约是10至25埃。
该第二转换金属层80完全作为该凹部40的衬里,并且为后续的铜填充提供可湿的表面。在图5中,形成铜晶种层(未显示),并且实施该大块铜填充,以形成铜填充层90。
在图6中,实施退火程序,以重新对齐该晶粒边界(grainboundary),并增加该铜层90的晶粒尺寸(grain size)。在该退火程序中,该第一转换金属层60与该第二转换金属层80,在该角落区域70中形成合金层100。在一个实施例中,可在大约100-400°C之间的温度实施该退火达大约0.5至2小时。接下来,实施化学机械研磨(CMP)程序,以移除过剩铜填充材料90。在该研磨程序期间,移除该合金层100、该阻障层50、和该硬掩膜层30的水平部分,以造成图7中的结构。
相较于该第二转换金属层80,该合金层100具有减少的可湿性。该合金层100中的该第一转换金属在该角落接口处形成氧化物(例如,TiOx)。该氧化物引发该铜层90在该角落接口70处去湿(dewet)。由于,因此用来实施该CMP程序的应力辅助蚀刻,因此,在该角落70处产生裂缝110,从而在该角落70凹陷该铜90。该裂缝110将该铜90与该互连结构10的该三重点予以隔离。
该第二转换金属层80的使用,通过增加可湿性,而允许改进的铜填充。在该铜退火期间形成该合金层100,可于该CMP程序期间在该角落区域70中提供减少的可湿性,从而凹陷该铜90。该凹陷的铜90改进该互连结构10的与时间相依的介电质破坏(time-dependentdielectric breakdown;TDDB)可靠性,这是因为在该沟槽边缘的该不良铜可湿性,会延缓铜扩散到该介电质表面,其会劣化可靠性。
以上所揭露的特殊实施例只是例示而已,这是因为本发明可以对于具有此处教示的利益的本领域的熟习技术者而言不同但等效的方式加以修正及实践。此外,除了在权利要求中所描述的以外,不打算对此处所显示的建构或设计的细节加以限制。因此,很明显地,以上所揭露的特殊实施例可加以改变或修正,并且,所有这种变形均应视为在本发明的范围和情神内。因此,此处所寻求的保护是如在权利要求中所提出的。

Claims (20)

1.一种方法,包含:
在基底的介电层中形成凹部;
在该凹部的角落部分上的该凹部中形成第一转换金属层;
在该凹部中形成第二转换金属层在该第一转换金属层上方,以作为该凹部的衬里;
以填充层填充该凹部;
退火该基底,其中,该第一转换金属层和该第二转换金属层在该退火期间,形成靠近该角落部分的合金部分,其中,该合金部分对于该填充层的材料具有较该第二转换金属层减少的可湿性;以及
研磨该基底,以移除该填充层在该凹部上方所延伸的部分。
2.如权利要求1所述的方法,其中,该填充层包含铜。
3.如权利要求1所述的方法,其中,填充该凹部包含在该第二转换金属层上方形成晶种层及在该晶种层上方形成该填充层的材料。
4.如权利要求1所述的方法,其中,该第二转换金属层包含钌。
5.如权利要求4所述的方法,其中,该第一转换金属层包含钛。
6.如权利要求1所述的方法,其中,研磨该晶圆包含在该填充层与靠近该角落部分的该合金部分之间形成凹部。
7.如权利要求1所述的方法,其中,该第一转换金属层实质上不在该凹部的侧壁和底部分。
8.如权利要求1所述的方法,还包含在形成该第一转换金属层前,在该凹部中形成阻障层。
9.如权利要求8所述的方法,其中,该阻障层包含氮化钽。
10.如权利要求1所述的方法,其中,该凹部包含沟槽。
11.如权利要求1所述的方法,其中,该凹部包含通孔开口。
12.一种方法,包含:
在基底的介电层中形成凹部;
在该凹部的角落部分上的该凹部中形成钛层;
在该钛层上方形成钌层,以作为该凹部的衬里;
以铜填充该凹部;
退火该基底,其中,该钛层和该钌层在该退火期间,形成靠近该角落部分的合金部分;以及
研磨该基底,以移除该铜在该凹部上方延伸的部分。
13.如权利要求12所述的方法,还包含在形成该钛层前,在该凹部中形成阻障层。
14.一种半导体装置,包含:
凹部,具有定义在介电层中的角落部分;
互连结构,定义在该凹部中,该互连结构包含:
第一和第二转换金属的合金,设置在该角落部分上;
一层该第二转换金属,作为该凹部的侧壁部分的衬里;以及
填充材料,实质地填充该凹部,其中,该第二转换金属对于该填充材料具有较该合金高的可湿性。
15.如权利要求14所述的装置,还包含阻障层,设置在该合金层和该层该第二转换金属下方的该凹部中。
16.如权利要求14所述的装置,其中,该第二转换金属包含钌。
17.如权利要求16所述的装置,其中,该第一转换金属包含钛。
18.一种半导体装置,包含:
凹部,具有定义在介电层中的角落部分;
互连结构,定义在该凹部中,该互连结构包含:
钛和钌的合金,设置在该角落部分上;
钌层,作为该凹部的侧壁部分的衬里;以及
铜,实质地填充该凹部。
19.如权利要求18所述的装置,还包含阻障层,设置在该合金和该钌层下方的该凹部中。
20.如权利要求19所述的装置,其中,该阻障层包含氮化钽。
CN201310325245.7A 2012-07-30 2013-07-30 用来减少角落接口的接口材料的可湿性的方法及相关装置 Expired - Fee Related CN103579098B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/561,195 2012-07-30
US13/561,195 US8722534B2 (en) 2012-07-30 2012-07-30 Method for reducing wettability of interconnect material at corner interface and device incorporating same

Publications (2)

Publication Number Publication Date
CN103579098A true CN103579098A (zh) 2014-02-12
CN103579098B CN103579098B (zh) 2016-10-05

Family

ID=49994091

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310325245.7A Expired - Fee Related CN103579098B (zh) 2012-07-30 2013-07-30 用来减少角落接口的接口材料的可湿性的方法及相关装置

Country Status (6)

Country Link
US (2) US8722534B2 (zh)
KR (1) KR20140016195A (zh)
CN (1) CN103579098B (zh)
DE (1) DE102013214441B4 (zh)
SG (1) SG196744A1 (zh)
TW (1) TWI536498B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106463511A (zh) * 2014-06-20 2017-02-22 英特尔公司 非易失性存储器的直通阵列布线

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9711464B2 (en) 2015-09-23 2017-07-18 International Business Machines Corporation Semiconductor chip with anti-reverse engineering function
US10304773B2 (en) 2015-10-21 2019-05-28 International Business Machines Corporation Low resistance contact structures including a copper fill for trench structures
US9960240B2 (en) 2015-10-21 2018-05-01 International Business Machines Corporation Low resistance contact structures for trench structures
US10170439B1 (en) 2017-09-29 2019-01-01 Globalfoundries Inc. Chamfering for stress reduction on passivation layer
US11004735B2 (en) 2018-09-14 2021-05-11 International Business Machines Corporation Conductive interconnect having a semi-liner and no top surface recess

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1090091A (zh) * 1992-12-10 1994-07-27 三星电子株式会社 半导体器件及其制造方法
JPH09153470A (ja) * 1995-12-01 1997-06-10 Hitachi Ltd 半導体装置の成膜方法
JPH1064902A (ja) * 1996-07-12 1998-03-06 Applied Materials Inc アルミニウム材料の成膜方法及び成膜装置
US7365005B1 (en) * 2002-05-20 2008-04-29 Gadgil Prasad N Method for filling of a recessed structure of a semiconductor device

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2851258B1 (fr) * 2003-02-17 2007-03-30 Commissariat Energie Atomique Procede de revetement d'une surface, fabrication d'interconnexion en microelectronique utilisant ce procede, et circuits integres
US7605082B1 (en) * 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8336204B2 (en) * 2009-07-27 2012-12-25 International Business Machines Corporation Formation of alloy liner by reaction of diffusion barrier and seed layer for interconnect application
US7956463B2 (en) 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1090091A (zh) * 1992-12-10 1994-07-27 三星电子株式会社 半导体器件及其制造方法
JPH09153470A (ja) * 1995-12-01 1997-06-10 Hitachi Ltd 半導体装置の成膜方法
JPH1064902A (ja) * 1996-07-12 1998-03-06 Applied Materials Inc アルミニウム材料の成膜方法及び成膜装置
US7365005B1 (en) * 2002-05-20 2008-04-29 Gadgil Prasad N Method for filling of a recessed structure of a semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106463511A (zh) * 2014-06-20 2017-02-22 英特尔公司 非易失性存储器的直通阵列布线
CN106463511B (zh) * 2014-06-20 2020-08-11 英特尔公司 非易失性存储器的直通阵列布线

Also Published As

Publication number Publication date
KR20140016195A (ko) 2014-02-07
TW201411777A (zh) 2014-03-16
US20140210088A1 (en) 2014-07-31
TWI536498B (zh) 2016-06-01
DE102013214441A1 (de) 2014-02-13
US8722534B2 (en) 2014-05-13
DE102013214441B4 (de) 2019-03-28
US9209135B2 (en) 2015-12-08
US20140027910A1 (en) 2014-01-30
SG196744A1 (en) 2014-02-13
CN103579098B (zh) 2016-10-05

Similar Documents

Publication Publication Date Title
US6734489B2 (en) Semiconductor element and MIM-type capacitor formed in different layers of a semiconductor device
CN101308726B (zh) Mim电容器
CN103579098A (zh) 用来减少角落接口的接口材料的可湿性的方法及相关装置
US8878338B2 (en) Capacitor for interposers and methods of manufacture thereof
CN104167422A (zh) 可变电阻存储器结构及其形成方法
US8765549B2 (en) Capacitor for interposers and methods of manufacture thereof
CN107026148B (zh) 半导体器件
CN102842499B (zh) 含硅和钽的阻挡件的原位形成
US9240403B2 (en) Embedded resistor
US9865534B2 (en) Stress reduction apparatus
CN102760689B (zh) 半导体器件制造方法
CN107452674B (zh) 集成电路中的接触件填充
CN100358125C (zh) 集成电路中的半导体装置及形成内连线结构的方法
CN105321925A (zh) 金属线结构和方法
CN109755240B (zh) 在集成电路电阻器中实现更高电流密度的结构
US6169028B1 (en) Method fabricating metal interconnected structure
TW201316456A (zh) 形成具有電容器及通孔接觸之半導體設備的方法
US7745280B2 (en) Metal-insulator-metal capacitor structure
KR100881488B1 (ko) Mim 캐패시터를 갖는 반도체 소자 및 그의 제조방법
CN104051617B (zh) 阻变式存储结构及其形成方法
CN205692828U (zh) 集成电路
CN107481995A (zh) 被金属覆盖层覆盖的钴互连
CN107527821B (zh) 半导体装置及其制造方法
CN108122894B (zh) 改善mim电容器电弧放电缺陷的方法
US20190043801A1 (en) Semiconductor structure and method for fabricating the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20161005

Termination date: 20190730

CF01 Termination of patent right due to non-payment of annual fee