DE102005040369A1 - Motorische Verstelleinheit für ein Kraftfahrzeug und Verfahren zum Betrieb einer solchen - Google Patents

Motorische Verstelleinheit für ein Kraftfahrzeug und Verfahren zum Betrieb einer solchen Download PDF

Info

Publication number
DE102005040369A1
DE102005040369A1 DE102005040369A DE102005040369A DE102005040369A1 DE 102005040369 A1 DE102005040369 A1 DE 102005040369A1 DE 102005040369 A DE102005040369 A DE 102005040369A DE 102005040369 A DE102005040369 A DE 102005040369A DE 102005040369 A1 DE102005040369 A1 DE 102005040369A1
Authority
DE
Germany
Prior art keywords
pulses
motor
position signal
rotational position
speed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102005040369A
Other languages
English (en)
Inventor
Markus Schüssler
Thomas RÖSCH
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brose Fahrzeugteile SE and Co KG
Original Assignee
Brose Fahrzeugteile SE and Co KG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brose Fahrzeugteile SE and Co KG filed Critical Brose Fahrzeugteile SE and Co KG
Priority to DE102005040369A priority Critical patent/DE102005040369A1/de
Priority to PCT/EP2006/005591 priority patent/WO2007022815A1/de
Publication of DE102005040369A1 publication Critical patent/DE102005040369A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02PCONTROL OR REGULATION OF ELECTRIC MOTORS, ELECTRIC GENERATORS OR DYNAMO-ELECTRIC CONVERTERS; CONTROLLING TRANSFORMERS, REACTORS OR CHOKE COILS
    • H02P6/00Arrangements for controlling synchronous motors or other dynamo-electric motors using electronic commutation dependent on the rotor position; Electronic commutators therefor
    • H02P6/20Arrangements for starting
    • H02P6/21Open loop start
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02PCONTROL OR REGULATION OF ELECTRIC MOTORS, ELECTRIC GENERATORS OR DYNAMO-ELECTRIC CONVERTERS; CONTROLLING TRANSFORMERS, REACTORS OR CHOKE COILS
    • H02P6/00Arrangements for controlling synchronous motors or other dynamo-electric motors using electronic commutation dependent on the rotor position; Electronic commutators therefor
    • H02P6/14Electronic commutators
    • H02P6/16Circuit arrangements for detecting position
    • H02P6/17Circuit arrangements for detecting position and for generating speed information

Abstract

Die Erfindung bezieht sich auf eine motorische Verstelleinheit (1), die einen elektrischen Motor (3) und einen Drehstellungssensor (4) umfasst, wobei der Drehstellungssensor (4) dazu ausgebildet ist, ein pro Volldrehung des Motors (3) eine bestimmte Anzahl (N) von Pulsen (P¶i¶) umfassendes Drehstellungssignal (R) an eine Motoransteuerung (11) abzugeben, sowie auf ein Verfahren zum Betrieb einer solchen Verstelleinheit (1). Um die Verstelleinheit (1) flexibel mit Drehstellungssensoren (4) unterschiedlicher Pulsanzahl (N) betreiben zu können, ist vorgesehen, den Motor (3) zunächst in einem gesteuerten Modus zu betreiben, in welchem aus Analyse des Drehstellungssignals (R) diese Pulsanzahl (N) ermittelt wird.

Description

  • Die Erfindung bezieht sich auf ein Verfahren zum Betrieb einer motorischen Verstelleinheit zum Einsatz in einem Kraftfahrzeug, die einen elektrischen Motor und einen Drehstellungssensor umfasst, wobei der Drehstellungssensor dazu ausgebildet ist, ein pro Volldrehung des Motors eine bestimmte Anzahl von Pulsen umfassendes periodisches Drehstellungssignal an eine Motorsteuerung abzugeben. Die Erfindung bezieht sich des Weiteren auf eine nach dem Verfahren arbeitende motorische Verstelleinheit.
  • Motorische Verstelleinheiten der oben genannten Art sind aus der DE 199 57 994 A1 sowie aus der DE 199 16 400 C1 bekannt. Eine derartige Verstelleinheit wird beispielsweise als Antrieb eines elektrischen Fensterhebers zum Heben und Senken einer Fensterscheibe, als Antrieb einer automatischen Sitzverstellung oder zum Öffnen und Schließen eines Schiebedachs oder der Motorraum- bzw. Kofferraumabdeckung eines Kraftfahrzeugs verwendet. Die bekannten Verstelleinheiten werden häufig geregelt betrieben, indem durch eine Motoransteuerung aus dem von dem Drehstellungssensor gelieferten Drehstellungssignal ein Istwert der Drehzahl abgeleitet und mit einem Sollwert der Drehzahl verglichen wird. Die Motoransteuerung steuert den Motor mittels eines pulsweitenmodulierten Stellsignals (auch PWM-Signal) derart an, dass der Istwert der Drehzahl an den Sollwert angeglichen wird.
  • Der Drehstellungssensor der bekannten Verstelleinrichtungen umfasst einen mit der Rotorachse des Motors gekoppelten Ringmagneten als Signalgeber sowie ein oder mehrere von der Antriebswelle entkoppelte Hall-Sensoren, wobei der oder jeder Hall-Sensor dem Ringmagneten derart beigeordnet ist, dass der Ringmagnet in dem Hall-Sensor ein Drehstellungssignal in Form einer Hall-Spannung er zeugt, deren Vorzeichen von der Polart des jeweils an den Hall-Sensor angrenzenden Pols des Ringmagneten abhängt. Bei laufendem Motor streichen die Pole des Ringmagneten alternierend an dem oder jedem Hall-Sensor vorüber, so dass entsprechend auch das Drehstellungssignal pulsartig variiert. Auf diese Weise wird ein Drehstellungssignal erzeugt, dass pro Volldrehung des Motors eine Anzahl von Pulsen (im Folgenden als Pulsanzahl bezeichnet) aufweist, die der halben Polanzahl des Ringmagneten entspricht. Bei einem zweipoligen Ringmagneten umfasst das Drehstellungssignal also einen Puls pro Volldrehung, bei einem vierpoligen Ringmagneten entsprechend zwei Pulse pro Volldrehung, etc.
  • Um aus dem Drehstellungssignal die richtige Drehzahl des Motors ableiten zu können, benötigt die Motoransteuerung Information über die Polanzahl des Ringmagneten oder- äquivalent hierzu – Information über die Pulsanzahl des Drehstellungssignals pro Volldrehung. Üblicherweise wird die Motoransteuerung einer Verstelleinrichtung im Hinblick auf einen bestimmten Drehstellungssensor konfiguriert, indem die dem Drehstellungssensor entsprechende Polanzahl bzw. Pulsanzahl in der Motorsteuerung als Parameter hinterlegt wird.
  • Auch bei Verstelleinheiten, bei denen der Motor nicht geregelt, sondern lediglich gesteuert betrieben wird, ist häufig die Kenntnis der Polanzahl bzw. Pulsanzahl pro Volldrehung erforderlich, beispielsweise um aus dem Drehstellungssignal den Verfahrweg der Verstelleinheit berechnen zu können.
  • Bei Verstelleinheiten der obengenannten Art werden in der Regel Standard-Motoren eingesetzt, die als integrale Baueinheit mit dem zugehörigen Drehstellungssensor vorgefertigt sind. Derartige Baueinheiten sind in unterschiedlicher Polzahl erhältlich. Ein Anwender muss daher stets das Datenblatt des verwendeten Motors konsultieren, um die Polzahl des zugeordneten Drehstellungssensors zu ermitteln und die Verstelleinheit entsprechend konfigurieren zu können. Dies gestaltet insbesondere auch einen Austausch des Motors bei einer Verstelleinheit aufwendig, zumal hier gegebenenfalls die Motoransteuerung umzukonfigurieren ist, um die Funktion der Verstelleinheit sicherzustellen.
  • Der Erfindung liegt die Aufgabe zu Grunde, ein Verfahren zum Betrieb einer motorischen Verstelleinheit mit einem elektrischen Motor und einem diesem zugeordneten Drehstellungssensor anzugeben, das besonders flexibel bei Drehstellungssensoren mit unterschiedlicher Pulsanzahl des Drehstellungssignals pro Volldrehung einsetzbar, insbesondere leicht auf unterschiedliche Drehstellungssensoren anpassbar ist. Der Erfindung liegt weiterhin die Aufgabe zu Grunde, eine Verstelleinheit zur Durchführung des Verfahrens anzugeben.
  • Bezüglich des Verfahrens wird die Aufgabe erfindungsgemäß gelöst durch die Merkmale des Anspruchs 1.
  • Erfindungsgemäß ist durch das Verfahren ein „Plug-and-Play"-System realisiert, bei welchem die Pulsanzahl des Drehstellungssignals nicht extern vorgegeben wird, sondern bei welchem diese Pulsanzahl im Betrieb der Verstelleinheit automatisch ermittelt wird. Hierbei wird der Motor zunächst in einer initialen Betriebsphase in einem gesteuerten Modus (oder Open-Loop-Modus) betrieben, d.h. mit einem Stellsignal vorgegebener PWM-Taktung oder kontinuierlich angesteuert, wobei in dieser initialen Betriebsphase das Drehstellungssignal analysiert und hieraus die Pulsanzahl pro Volldrehung ermittelt wird.
  • Nach Ermittlung der Pulsanzahl kann dann von dem gesteuerten Modus auf einen geregelten Betrieb (Closed-Loop-Modus) des Motors übergegangen oder die ermittelte Pulsanzahl in anderer Weise, insbesondere zur Bestimmung der Gesamtumdrehungsanzahl des Motors, des zurückgelegten Verfahrwegs der Verstelleinrichtung oder dergl., im Rahmen der Mortoransteuerung herangezogen werden.
  • Durch das erfindungsgemäße „Plug-and-Play"-Prinzip wird es ermöglicht, Motoren mit beliebigen Drehstellungssensoren, insbesondere Standard-Motoren beliebiger Zulieferer, im Rahmen der Verstelleinheit flexibel einzusetzen, ohne dass die Verstelleinheit hierzu jeweils besonders konfiguriert werden müsste. Dies erleichtert insbesondere auch den Austausch des Motors bei einer bestehenden Verstelleinheit erheblich.
  • In einer ersten Variante der Erfindung erfolgt die Ermittlung der Pulsanzahl, indem im gesteuerten Modus der Motorstrom gemessen und hieraus anhand einer vorgegebenen Motorkennlinie ein Schätzwert für die Drehzahl des Motors abgeleitet wird. Die Motorkennlinie gibt hierbei in Form einer mathematischen Funktion oder Kennzahlentabelle zum einen die Abhängigkeit der Drehzahl von dem Lastmoment, und zum anderen die Abhängigkeit des aufgenommenen Motorstroms von dem Antriebsmoment wieder. Im Gleichlauf des Motors entspricht das Antriebsmoment dem beaufschlagten Lastmoment, so dass aus der Motorkennlinie unter Annahme der Gleichlaufbedingung bei gegebenem Motorstrom in guter Näherung der Schätzwert der Drehzahl extrahiert werden kann.
  • In einer besonders einfachen Ausführung des Verfahrens wird desweiteren aus dem Drehstellungssignal die Rate der Pulse, d.h. die Anzahl der Pulse pro Zeiteinheit erfasst. Die gesuchte Pulsanzahl des Drehstellungssignals pro Volldrehung wird nun durch einfache Division der Rate und des aus der Motorkennlinie ermittelten Drehzahl-Schätzwertes und geeigenete Rundung und/oder Mittelung des Ergebnisses auf einen ganzzahligen Betrag berechnet.
  • In einer alternativen Ausführung des Verfahrens wird aus dem Drehstellungssignal ein Annahmewert für die Drehzahl abgeleitet und mit dem aus der Motorkennlinie abgeleiteten Drehzahl-Schätzwert verglichen. In die Berechnung des Drehzahl-Annahmewerts geht hierbei ein vorgegebener Annahmewert für die Pulsanzahl ein, so dass der Drehzahl-Annahmewert zunächst rein hypothetisch ist, d.h. die tatsächliche Drehzahl nur dann wiederspiegelt, wenn der Pulszahl-Annahmewert mit der tatsächlichen Pulsanzahl des Drehstellungssignals pro Volldrehung übereinstimmt. Die Ermittlung der tatsächlichen Pulsanzahl erfolgt nun, indem der Drehzahl-Annahmewert mit dem aus der Motorkennlinie abgeleiteten Drehzahl-Schätzwert unter Variation des Pulsanzahl-Annahmewertes abgeglichen wird, d.h. indem der Pulszahl-Annahmewert solange variiert wird, bis der unter Heranzie hung dieses Pulszahl-Annahmewertes berechnete Drehzahl-Annahmewert mit dem Drehzahl-Schätzwert innerhalb vorgegebener Toleranzgrenzen übereinstimmt.
  • Zweckmäßigerweise wird das Lastmoment während der initialen Betriebsphase derart gering gehalten, dass im Rahmen der Toleranzgrenzen der Motorkennlinie die Pulsanzahl eindeutig bestimmbar ist. Hierdurch wird berücksichtigt, dass bei Motorkennlinien infolge der reziproken Abhängigkeit der Drehzahl von dem Lastmoment der Toleranzbereich der Drehzahl im Verhältnis zum Betrag der Drehzahl mit anwachsendem Lastmoment in der Regel zunimmt. Bei hinreichend großem Lastmoment können hierdurch mehrere für unterschiedliche Pulszahl-Annahmewerte berechnete Drehzahl-Annahmewerte in diesen Toleranzbereich fallen, wodurch die tatsächliche Pulsanzahl nicht mehr eindeutig bestimmbar ist. Um zwischen 2-poligen (N = 1), 4-poligen (N = 2) und 8-poligen (N = 4) Ringmagneten als Signalgeber unterscheiden zu können, ist das Lastmoment insbesondere derart gering gehalten, dass der obere Schwellwert des Toleranzbereichs kleiner als der doppelte Betrag des unteren Schwellwerts ist (bzw. dass der untere Schwellwert des Toleranzbereiches größer als der halbe Betrag des oberen Schwellwerts ist). Als Dimensionierungsmaßstab hat es sich als zweckmäßig erwiesen, während der initialen Betriebsphase das Lastmoment kleiner als den halben Betrag des Blockmoments des Motors zu halten. Als Blockmoment ist der Maximalbetrag des am Motor angreifenden Lastmoments bezeichnet, das den Motor gerade zum Blockieren bringt.
  • Anstelle der Drehzahl kann äqivalenterweise auch eine hierzu direkt oder invers proportionale Kenngröße, beispielsweise die durch den Kehrwert der Drehzahl gegebene Umdrehungsdauer, in dem vorstehend beschriebenen Verfahren berücksichtigt werden.
  • In einer zweiten Variante der Erfindung erfolgt die Bestimmung der Pulsanzahl, indem durch Mustererkennung die einer Volldrehung entsprechenden Wiederholsequenz des Drehstellungssignals bestimmt wird. Ist die Wiederholsequenz be stimmt, so wird die Pulsanzahl einfach durch Abzählen der Pulse innerhalb dieser Wiederholsequenz abgelesen.
  • Diese Variante der Erfindung nützt den Umstand aus, dass die Signalgeber gängiger Drehstellungssensoren stets einer gewissen Fertigungstoleranz unterliegen, wodurch sich innerhalb einer Volldrehung des Motors aufeinanderfolgende Pulse des Drehstellungssignals geringfügig und in charakteristischer Weise voneinander unterscheiden. Bei einem auf dem Hall-Effekt beruhenden Drehstellungssensor mit einem mehrpoligen Ringmagneten als Signalgeber beruht diese Unregelmäßigkeit des Drehstellungssignals innerhalb der Widerholsequenz auf einer toleranzbedingten Dejustierung des Ringmagneten gegenüber der Antriebswelle, einer geringfügigen Fluktuation des einem jeden Pol zugeordneten Rotationswinkelbereichs und/oder einer geringfügig unterschiedlichen Magnetstärke der einzelnen Pole des Ringmagneten.
  • Die Wiederholsequenz des Drehstellungssignals repräsentiert somit sozusagen einen „Fingerabdruck" des spezifischen Drehstellungssensors, in welchem sich auch die Pulsanzahl pro Volldrehung wiederspiegelt. Bevorzugt werden als Mittel der elektronischen Mustererkennung eine Autokorrelation oder Spektralanalyse des Drehstellungssignals herangezogen. Weiterhin kann die Wiederholsequenz verteilhaft mittels eines neuronalen Netzwerkes erkannt werden.
  • In einer bevorzugten Variante der Erfindung wird nach Beendigung der initialen Betriebsphase, d.h. nach Ermittlung der Pulsanzahl des Drehstellungssignals pro Volldrehung, in einen geregelten Modus geschaltet. Als Regelgröße wird hierbei bevorzugt die anhand der ermittelten Pulsanzahl aus dem Drehstellungssignal abgeleiteten Drehzahl des Motors oder eine hierzu direkt oder invers proportionale Kengröße herangezogen.
  • Um einen „weichen" Übergang von dem gesteuerten Modus in den geregelten Modus sicherzustellen, wird ein Sollwert der Regelgröße für den Eintritt in den geregelten Modus derart an einen nach Ermittlung der Pulsanzahl bestimmten Ist wert der Regelgröße angepasst, dass der Regelalgorithmus keinen „Regelbedarf" feststellt und somit beim Übergang von dem gesteuerten Modus in den geregelten Modus keine Schwankung der Regelgröße erzeugt wird. Bei einem einfachen Regelalgorithmus wird dieser Effekt insbesondere dadurch erzielt, dass der Sollwert an den Istwert zunächst angeglichen wird.
  • Bezüglich der Verstelleinheit wird die Aufgabe erfindungsgemäß gelöst durch die Merkmale des Anspruchs 14. Danach ist der Verstelleinheit eine zur Durchführung des erfindungsgemäßen Verfahrens ausgebildete Motoransteuerung zugeordnet.
  • In einer bevorzugten Variante der Erfindung umfasst der Drehstellungssensor einen mit einer Antriebsachse des Motors gekoppelten Signalgeber sowie mindestens einen mit diesem korrespondierenden, drehfesten angeordneten Detektor. Als Signalgeber ist hierbei vorzugsweise ein mehrpoliger Ringmagnet vorgesehen, der mit einem Hall-Sensor als Detektor korrespondiert. Alternativ hierzu sind weitere Ausführungen des Drehstellungssensors vorgesehen, z. B. auf Basis eines Lichtschrankenprinzips oder auf Basis eines mechanischen Kontaktgebungsprinzips. Derartige Drehstellungssensoren erzeugen ebenfalls ein gepulstes Drehstellungssignal, das als äquivalente Eingangsgröße für das vorstehend beschriebene Verfahren heranziehbar ist.
  • Nachfolgend werden Ausführungsbeispiele der Erfindung anhand einer Zeichnung näher erläutert. Darin zeigen:
  • 1 in einem schematischen Blockschaltbild eine motorische Verstelleinheit mit einem Motor, einem diesem zugeordneten Drehstellungssensor und einer Motoransteuerung,
  • 2 in einem schematischen zeitlichen Diagramm ein von dem Drehstellungssensor gemäß 1 an die Motoransteuerung abgegebenes Drehstellungssignal,
  • 3 in einem schematischen zeitlichen Diagramm ein von der Motoransteuerung gemäß 1 an den Motor abgegebenes Stellsignal,
  • 4 in einem schematischen Blockschaltbild die Motoransteuerung gemäß 1 in einem gesteuerten Modus,
  • 5 in Darstellung gemäß 4 die Motoransteuerung in einem geregelten Modus,
  • 6 in einem schematischen Blockschaltbild ein Analysemodul der Motoransteuerung gemäß 4 und 5 in einer erste Ausführungsvariante,
  • 7 in einem schematischen Diagramm eine in dem Analysemodul gemäß 6 hinterlegte Motorkennlinie und
  • 8 in Darstellung gemäß 6 eine alternative Ausführungsform des Analysemoduls.
  • Einander entsprechende Teile und Größen sind in allen Figuren stets mit den selben Bezugszeichen versehen.
  • 1 zeigt in grober schematischer Vereinfachung eine motorische Verstelleinheit 1 zum Einsatz in einem Kraftfahrzeug. Die Verstelleinheit 1 ist im dargestellten Beispiel als Antrieb eines automatischen Fensterhebers, d.h. zum automatischen Heben und Senken einer (in der Darstellung schematisch angedeuteten) Fensterscheibe 2 des Kraftfahrzeugs eingesetzt.
  • Die Verstelleinheit 1 umfasst einen elektrischen Motor 3, insbesondere einen Gleichstrommotor, der mit einem integralen Drehstellungssensor 4 eine Baueinheit 5 bildet. Der Drehstellungssensor 4 umfasst hierbei einen Signalgeber in Form eines allgemein n-poligen (n = 2, 4, 8, ...) Ringmagneten 6, der antriebsmäßig mit einer Antriebswelle 7 des Motors 3 gekoppelt ist, so dass der Ringmagnet 6 in Betrieb des Motors 3 mit einer der Rotation der Antriebswelle 7 entsprechenden Drehzahl ν mitrotiert. Der Drehstellungssensor 4 umfasst weiterhin einen Detektor in Form eines Hall-Sensors 8, der ortsfest, und damit insbesondere von der Antriebswelle 7 entkoppelt, angeordnet ist. Der Hall-Sensor 8 ist dabei dem Ringmagneten 6 derart zugeordnet, dass ein von dem Ringmagneten 6 ausgehendes Magnetfeld in dem Hall-Sensor 8 eine elektrische Hall-Spannung erzeugt.
  • Die Antriebswelle 7 ist mit einer Verstellmechanik 9 verbunden, die über eine mechanische Kopplung 10 auf die Fensterscheibe 2 wirkt, so dass unter Betätigung des Motors 3 und entsprechender Rotation der Antriebswelle 7 die Fensterscheibe 2 angehoben oder abgesenkt wird.
  • Zur Ansteuerung des Motors 3 umfasst die Verstelleinheit 1 weiterhin eine elektronische Motoransteuerung 11. Der Motoransteuerung 11 ist ein von dem Drehstellungssensor 4 abgegebenes Drehstellungssignal R zugeführt. Der Motoransteuerung 11 ist optional (insbesondere in einer anhand 6 näher beschriebenen Ausführungsform der Verstelleinheit 1) weiterhin der Motorstrom 1 oder ein den Betrag des Motorstroms I kennzeichnendes Messsignal zugeführt. Die Motoransteuerung 11 gibt ihrerseits ein pulsweitenmoduliertes Stellsignal S an den Motor 3 ab.
  • Das in 2 schematisch vereinfacht in einem Diagramm gegen die Zeit t dargestellte Drehstellungssignal R weist einen pulsartig mit der Zeit t variierenden Verlauf auf. Als Puls Pi (i = ..., –1, 0, 1, 2, ...) ist hierbei eine von zwei aufeinanderfolgenden Flankenwechseln eingegrenzte zeitliche Sequenz des Drehstellungssignals R bezeichnet, die derjenigen Zeitspanne entspricht, innerhalb welcher ein Pol einer bestimmten Polart (Nordpol bzw. Südpol) des Ringmagneten 6 an dem Hall-Sensor 8 vorüberstreicht. In 2 sind die (z.B. den Nordpolen des Ringmagneten 6) entsprechenden Maxima des Drehstellungssignals R als Pulse Pi herangezogen. Äquivalenterweise hierzu könnten aber auch die Minima des Drehstellungssignals R bei der nachfolgend beschriebenen Analyse als Pulse berücksichtigt werden.
  • Aufgrund fertigungstechnischer Toleranzen sind die verschiedenen Nord- bzw. Südpole des Ringmagneten 6 hinsichtlich des von ihnen eingenommenen Rotationswinkels, ihre Magnetstärke und Ihrer Ausrichtung zu der Drehachse nicht exakt äquivalent, sondern geringfügig unterschiedlich. Dies hat zur Folge, dass auch die Pulse Pi, die innerhalb eines Vollzyklus Z des Drehstellungssignals R, und somit innerhalb einer Volldrehung des Motors 3 aufeinander folgen, hinsichtlich ihrer Pulshöhe, Pulslänge und/oder ihres Pulsabstandes geringfügig unterschiedlich sind. Durch diese Unterschiedlichkeit der innerhalb des Vollzyklus Z aufeinander folgenden Pulse Pi wird ein für die individuelle Baueinheit 5 charakteristisches Muster geprägt, das sich (bei konstanten Betriebsverhältnissen des Motors 3) mit jedem Vollzyklus Z periodisch wiederholt.
  • 2 zeigt beispielhaft das von einem 8-poligen Ringmagneten 6 erzeugte Drehstellungssignal R, das entsprechend vier Pulse Pi pro Vollzyklus Z umfasst und das sich mit jedem Vollzyklus Z periodisch wiederholt. Hierbei entspricht der Puls P1 dem Puls P5 , der Puls P2 dem Puls P6, etc., während sich die innerhalb des Vollzyklus Z aufeinander folgenden Pulse P1 bis P4 voneinander geringfügig unterscheiden.
  • Bei dem in 3 schematisch vereinfacht gezeigten Stellsignal S handelt es sich um ein Spannungssignal, mit welchem der Motor 3 gepulst angesteuert wird, wobei zur Auf- bzw. Absteuerung des Motors 3 die Pulsweite W (oder Pulslänge) eines jeden Steuerpulses zwischen 0 % und 100 % einer vorgegebenen Taktdauer T variierbar ist.
  • Die in den 4 und 5 näher dargestellte Motoransteuerung 11 umfasst ein Steuermodul 12, durch welches das Stellsignal S erzeugt wird. Das Steuermodul 12 ist einerseits direkt durch einen Vorgabewert Wo der Pulsweite W angesteuert. Andererseits ist das Steuermodul 12 indirekt über ein vorgeschaltetes Regelmodul 13 ansteuerbar, das in aktiviertem Zustand einen Korrekturwert ΔW für die Pulsweite W an das Steuermodul 12 abgibt.
  • Die Motoransteuerung 11 ist wahlweise in einem gesteuerten Modus oder einem geregelten Modus betreibbar. In dem gesteuerten Modus (4) ist das Regelmodul 13 deaktiviert, so dass das Steuermodul 12 ausschließlich direkt angesteuert ist und die Pulsweite W des Stellsignals S gemäß W = W0 dem Vorgabewert Wo entspricht.
  • In dem geregelten Modus (5) führt das Regelmodul 13 – in an sich herkömmlicher Weise – einen Vergleich eines Istwerts νI der Drehzahl ν mit einem vorgegebenen Sollwert νS durch und gibt den in Abhängigkeit des Vergleichsergebnisses bestimmten Pulsweiten-Korrekturwert ΔW an das Steuermodul 12 ab, das in diesem Fall die Pulsweite W des Stellsignals S wird gemäß W = W0 + ΔW nach Maßgabe des Pulsweiten-Korrekturwerts ΔW variiert.
  • Der Drehzahl-Istwert νI wird dem Regelmodul 13 aus einem Drehzahlbestimmungsmodul 14 zugeführt, das seinerseits diesen Drehzahl-Istwert νI, insbesondere durch Bestimmung des zeitlichen Pulsabstandes aufeinanderfolgender Pulse Pi, aus dem zugeführten Drehstellungssignal R ableitet.
  • Zur Ableitung des Drehzahl-Istwerts νI aus dem Drehstellungssignal R benötigt das Drehzahlbestimmungsmodul 14 Information über die Pulsanzahl N, d.h. die Anzahl der pro Vollzyklus Z im Drehstellungssignal R enthaltenen Pulse Pi. Im Normalbetrieb, in dem die Verstelleinheit 1 gemäß 5 in dem geregelten Modus betrieben wird, wird die Pulsanzahl N dem Drehzahlbestimmungsmodul 14 aus einem Parameterspeicher 15 zur Verfügung gestellt.
  • Im Unterschied zu herkömmlicher Technik ist die Pulsanzahl N nicht statisch hinterlegt. Vielmehr ermittelt die Motoransteuerung 11 automatisch die Pulsanzahl N in einer dem Normalbetrieb vorgeschalteten initialen Betriebsphase, während der die Verstelleinheit 1 in dem gesteuerten Modus gemäß 4 betrieben wird.
  • Zur Ermittlung der Pulsanzahl N umfasst die Motoransteuerung 11 ein Analysemodul 16. Das Analysemodul 16 ermittelt (in nachfolgend näher beschriebener Weise) die Pulsanzahl N durch Analyse des Drehstellungssignals R, gegebenenfalls unter zusätzlicher Berücksichtigung des Betrags des Motorstroms I, und initialisiert nach erfolgter Bestimmung der Pulsanzahl N den Parameterspeicher 15. Danach schaltet die Motoransteuerung 11 auf Normalbetrieb, in welchem der Motor 3, wie vorstehend beschrieben, im geregelten Modus betrieben wird.
  • Um einen „weichen" Übergang zwischen der initialen Betriebsphase und dem Normalbetrieb sicherzustellen, wird nach der Bestimmung der Pulszahl, d.h. unmittelbar vor dem Übergang in den geregelten Modus der Drehzahl-Sollwert νS an den Drehzahl-Istwert νI angepasst (insbesondere angeglichen), so dass zum Zeitpunkt des Umschaltens die Regelung zwangsweise in Einklang gebracht ist und das Regelmodul 13 hierdurch bei seiner Aktivierung keine sprunghafte Änderung der PWM-Taktung bewirkt (vgl. gestrichelte Linien in 4).
  • In 6 ist eine erste Ausführungsform des Analysemoduls 16 näher dargestellt. Das Analysemodul 16 umfasst in dieser Ausführung ein Kennlinienmodul 18, in dem eine (in 7 näher dargestellte) Motorkennlinie K in Form einer funktionalen Abhängigkeit oder einer Werttabelle hinterlegt ist. Das Analysemodul 16 umfasst gemäß 6 weiterhin ein Drehzahlbestimmungsmodul 19, das hinsichtlich seiner Funktion im Wesentlichen dem Drehzahlbestimmungsmodul 14 entspricht und mit letzterem optional auch identisch ist. Das Analysemodul 16 umfasst zusätzlich ein Vergleichsmodul 20 sowie ein Vorgabemodul 21.
  • Zur Bestimmung der Pulsanzahl N wird einerseits durch das Kennlinienmodul 18 aus dem gemessenem Betrag des Motorstroms I die Drehzahl ν des Motors 3 abgeschätzt. Diese Abschätzung erfolgt anhand der hinterlegten Motorkennlinie K, die die Abhängigkeit des aufgenommenen Motorstroms I von dem Antriebsmoment MA des Motors sowie die Abhängigkeit der Drehzahl ν νon dem auf den Motor wirkenden Lastmoment ML enthält. Letztere Abhängigkeit ist in Form eines Toleranzkorridors niedergelegt, der durch einen oberen Drehzahl-Schwellwert ν+ und einen unteren Drehzahl-Schwellwert ν- begrenzt ist. Die durch das Kennlinienmodul 18 vorgenommene Abschätzung beruht auf der approximativen Annahme, dass sich der Motor 3 im Gleichlauf befindet und somit das Antriebsmoment MA dem Lastmoment ML entspricht. Unter dieser Annahme ermittelt das Kennlinienmodul 18 zu dem gegebenen Betrag des Motorstroms I (wie beispielhaft durch eine in 7 eingetragene Projektionslinie 22 angedeutet ist) einen korrepondierenden Wert des oberen Schwellwertes ν+ und des unteren Schwellwertes ν- und gibt diese ermittelten Werte an das Vergleichsmodul 20 aus.
  • Andererseits wird durch das Drehzahlbestimmungsmodul 19 aus dem Drehstellungssignal R ein Annahmewert νA der Drehzahl ν ermittelt, wobei anstelle der noch unbekannten tatsächlichen Pulsanzahl N dem Drehzahlbestimmungsmodul 19 durch das Vorgabemodul 21 ein Annahmewert NA der Pulsanzahl N zur Verfügung gestellt wird.
  • Das Vergleichsmodul 20 vergleicht den Drehzahl-Annahmewert νA mit den Drehzahlschwellwerten ν-, ν+ nach Maßgabe der Vergleichsrelation ν- ≤ νA ≤ ν+. Glg.1
  • Diesem Verfahren liegt der Umstand zugrunde, dass Glg. 1 in der Regel nur dann erfüllt ist, wenn der Pulszahl-Annahmewert NA der tatsächlichen Pulsanzahl N des Drehstellungssignals R entspricht. Ansonsten wird der Drehzahlannahmewert νA gegenüber der tatsächlichen Drehzahl ν des Motors 3 um einen Faktor N/NA fehlbestimmt und liegt dadurch in der Regel außerhalb des durch die Schwellwerte ν- und ν+ aufgespannten Toleranzkorridors.
  • Die Trennschärfe dieses Verfahrens ist um so größer, je größer die Drehzahl ν ist. Angesichts der fallenden Tendenz der Drehzahl ν mit zunehmenden Lastmoment ML wird das Lastmoment ML in der initialen Betriebsphase hinreichend gering, bevorzugt im Bereich 0 ≤ ML ≤ MB/2, gehalten, wobei MB das Blockmoment des Motors 3, d.h. den Maximalbetrag des Lastmoments ML bezeichnet, bei welchem der Motor 3 gerade blockiert.
  • Im Zuge des von dem Analysemodul 16 durchgeführte Bestimmungsverfahrens inkrementiert das Vorgabemodul 21 den Pulsanzahl-Annahmewert NA solange um einen Differenzbetrag von 2, bis das Vergleichsmodul 20 die Erfüllung von Glg. 1 feststellt. In diesem Fall gibt das Vergleichsmodul 20 einen Abbruchbefehl C an das Vorgabemodul 21 zurück. In Reaktion auf den Abbruchbefehl C beendet das Vorgabemodul 21 die Inkrementierung des Pulsanzahl-Annahmewerts NA und gibt dessen aktuellen Betrag als Pulsanzahl N aus.
  • In 8 ist eine alternative Ausführungsform des Analysemoduls 16 dargestellt, bei der die Bestimmung der Pulsanzahl N ohne Messung des Motorstroms I erfolgt. In dieser Ausführung umfasst das Analysemodul 16 ein Mustererkennungsmodul 23, das dazu ausgebildet ist, aus dem fortlaufenden Drehstellungssignal R die einem Vollzyklus Z entsprechende Wiederholsequenz Y (2) zu erkennen, und hierüber den Betrag des Vollzyklus Z zu bestimmen. Das Mustererkennungsmodul 23 gibt den ermittelten Betrag des Vollzyklus Z an ein nachgeschaltetes Pulsanzahlbestimmungsmodul 24 aus, das dazu ausgebildet ist, die Pulsanzahl N durch Abzählen der Pulse Pi innerhalb des Vollzyklus Z zu ermitteln und auszugeben.
  • Das Mustererkennungsmodul 23 ermittelt die Wiederholsequenz Y durch Autokorrelation des Drehstellungssignals R. Hierbei wird das Drehstellungssignal R zeitverschoben mit sich selbst multipliziert und das Produkt zeitlich gemittelt und normiert. Zur Erkennung der Wiederholsequenz Y und des dieser entsprechenden Vollzyklus Z nutzt das Mustererkennungsmodul 23 den Umstand aus, dass die (normierte) Autokorrelationsfunktion des Drehstellungssignals R nur dann exakt oder zumindestens annähernd den Betrag 1 annimmt, wenn die Zeitverschiebung ein Vielfaches des Vollzyklus Z beträgt. Äquivalent zu der Bildung der Autokorrelation kann die Wiederholsequenz Y auch durch Differenz des zeitverschobenen Drehstellungssignals R von dem ursprünglichen Drehstellungssignal R mit anschließender zeitlicher Mittelung des quadrierten Differenzsignals bestimmt werden. Das resultierende Signal wird nur dann exakt oder zumindest annähernd zu Null, wenn die Zeitverschiebung ein Vielfaches des Vollzyklus Z beträgt. Wiederum alternativ ermittelt das Mustererkennungsmodul 23 die Wiederholsequenz Y, den Vollzyklus Z und die Pulsanzahl N durch Spektralanalyse, insbesondere Fouriertransformation des Drehstellungssignals R.
  • Die vorstehend beschriebenen Module 12 bis 14, 16, 18 bis 21 sowie 23 und 24 sind ganz oder teilweise als elektronische Schaltungen oder als Softwarebausteine realisiert.
  • 1
    Verstelleinheit
    2
    Fensterscheibe
    3
    Motor
    4
    Drehstellungssensor
    5
    Baueinheit
    6
    Ringmagnet
    7
    Antriebswelle
    8
    Hall-Sensor
    9
    Verstellmechanik
    10
    Mechanische Kopplung
    11
    Motoransteuerung
    12
    Steuermodul
    13
    Regelmodul
    14
    Drehzahlbestimmungsmodul
    15
    Parameterspeicher
    16
    Analysemodul
    18
    Kennlinienmodul
    19
    Drehzahlbestimmungsmodul
    20
    Vergleichsmodul
    21
    Vorgabemodul
    22
    Projektionslinie
    23
    Mustererkennungsmodul
    24
    Pulszahlbestimmungsmodul
    ν
    Drehzahl
    R
    Drehstellungssignal
    I
    Motorstrom
    S
    Stellsignal
    t
    Zeit
    Pi
    Puls (i = ..., –1, 0, 1, 2, ...)
    Z
    Vollzyklus
    W
    Pulsweite
    T
    Taktdauer
    W0
    Pulsweiten-Vorgabewert
    ΔW
    Pulsweiten-Korrekturwert
    νI
    Drehzahl-Istwert
    νS
    Drehzahl-Sollwert
    N
    Pulsanzahl
    K
    Motorkennlinie
    ML
    Lastmoment
    MA
    Antriebsmoment
    ν+
    Drehzahl-Schwellwert
    ν-
    Drehzahl-Schwellwert
    νA
    Drehzahl-Annahmewert
    Na
    Pulszahl-Annahmewert
    MB
    Blockmoment
    C
    Abbruchbefehl
    Y
    Wiederholsequenz

Claims (16)

  1. Verfahren zum Betrieb einer motorischen Verstelleinheit (1) eines Kraftfahrzeugs, die einen elektrischen Motor (3) und einen Drehstellungssensor (4) umfasst, wobei der Drehstellungssensor (4) dazu ausgebildet ist, ein pro Volldrehung des Motors (3) eine bestimmte Anzahl (N) von Pulsen (Pi) umfassendes Drehstellungssignal (R) an eine Motoransteuerung (11) abzugeben, dadurch gekennzeichnet, dass der Motor (3) in einer initialen Betriebsphase in einem gesteuerten Modus betrieben wird, wobei diese (Puls-)Anzahl (N) durch Analyse des Drehstellungssignals (R) ermittelt wird.
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass im gesteuerten Modus der Motorstrom (I) des Motors (3) gemessen und hieraus anhand einer vorgegebenen Motorkennlinie (K) ein Schätzwert (ν+, ν-) der Drehzahl (ν) oder einer hierzu direkt oder invers proportionalen Kenngröße abgeleitet wird, und dass unter Heranziehung dieses Schätzwertes (ν+, ν-) die Pulsanzahl (N) ermittelt wird.
  3. Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass die Pulsanzahl (N) anhand des Schätzwertes (ν+, ν-) der Drehzahl (ν) bzw. proportionalen Kenngröße und Rate der Pulse (Pi) berechnet wird.
  4. Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass anhand eines Annahmewertes (NA) der Pulsanzahl (N) aus dem Drehstellungssignal (R) ein Annahmewert (νA) der Drehzahl (ν) bzw. Kenngröße berechnet wird, wobei zur Ermittlung der tatsächlichen Pulsanzahl (N) der Annahmewert (νA) der Drehzahl (ν) bzw. proportionalen Kenngröße unter Variation des Pulsanzahl-Annahmewertes (NA) mit dem Schätzwert (ν+, ν-) der Drehzahl (ν) bzw. proportionalen Kenngröße abgeglichen wird.
  5. Verfahren nach einem der Ansprüche 2 bis 4, dadurch gekennzeichnet, dass zur Ermittlung der Pulsanzahl (N) in dem gesteuerten Modus das Lastmoment (ML) hinreichend klein gehalten wird, so dass anhand der Motorkennlinie (K) innerhalb der durch diese vorgegebenen Toleranzen die Pulszahl (N) eindeutig bestimmt ist.
  6. Verfahren nach Anspruch 5, dadurch gekennzeichnet, dass während der Ermittlung der Pulszahl (N) das Lastmoment (ML) den halben Betrag des Blockmoments (MB) des Motors (3) nicht überschreitet.
  7. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass mittels Mustererkennung die einem Vollzyklus (Z) entsprechende Wiederholsequenz (Y) des Drehstellungssignals (R) ermittelt wird, und dass aus dieser Wiederholsequenz (Y) die Pulsanzahl (N) abgeleitet wird.
  8. Verfahren nach Anspruch 7, dadurch gekennzeichnet, dass die Wiederholsequenz (Y) durch Autokorrelation des Drehstellungssignals (R) erkannt wird.
  9. Verfahren nach Anspruch 7, dadurch gekennzeichnet, dass die Wiederholsequenz (Y) durch Spektralanalyse des Drehstellungssignals (R) erkannt wird.
  10. Verfahren nach Anspruch 7, dadurch gekennzeichnet, dass die Wiederholsequenz (Y) mittels eines neuronalen Netzwerkes erkannt wird.
  11. Verfahren nach einem der Ansprüche 1 bis 10, dadurch gekennzeichnet, dass der Motor (3) nach Ermittlung der Pulsanzahl (N) in einem nach Maßgabe des Drehstellungssignals (R) und der ermittelten Pulsanzahl (N) geregelten Modus betrieben wird.
  12. Verfahren nach Anspruch 11, dadurch gekennzeichnet, dass im geregelten Modus als Regelgröße die anhand der Pulsanzahl (N) aus dem Drehstellungssignal (R) ermittelte Drehzahl (ν) oder eine hierzu direkt oder invers proportionale Kenngröße herangezogen wird.
  13. Verfahren nach Anspruch 12, dadurch gekennzeichnet, dass nach Bestimmung der Pulsanzahl (N) für den geregelten Modus ein initialer Istwert (νI) der Regelgröße (ν) gemessen und ein initialer Sollwert (νS) der Regelgröße derart an diesen Istwert (νI) angepasst wird, dass beim Umschalten von dem gesteuerten Modus in den geregelten Modus keine Drehzahlschwankung auftritt.
  14. Motorische Verstelleinheit (1) mit einem elektrischen Motor (3), mit einer Motoransteuerung (11) sowie mit einem Drehstellungssensor (4), der ein pro Volldrehung des Motors (3) eine bestimmte Anzahl (N) von Pulsen (Pi) umfassendes periodisches Drehstellungssignal (R) an die Motoransteuerung (11) abgibt, dadurch gekennzeichnet, dass die Motoransteuerung (11) zur Durchführung des Verfahrens nach einem der Ansprüche 1 bis 7 ausgebildet ist.
  15. Verstelleinheit (1) nach Anspruch 14, dadurch gekennzeichnet, dass der Drehstellungssensor (4) einen mit einer Antriebswelle des Motors (3) gekoppelten Signalgeber (6) und mindestens einen mit diesem korrespondierenden, drehfest angeordneten Detektor (8) umfasst.
  16. Verstelleinheit (1) nach Anspruch 15, dadurch gekennzeichnet, dass der Drehstellungssensor (4) einen mehrpoligen Ringmagneten (6) als Signalgeber und mindestens einen Hall-Sensor (8) als Detektor umfasst.
DE102005040369A 2005-08-26 2005-08-26 Motorische Verstelleinheit für ein Kraftfahrzeug und Verfahren zum Betrieb einer solchen Withdrawn DE102005040369A1 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102005040369A DE102005040369A1 (de) 2005-08-26 2005-08-26 Motorische Verstelleinheit für ein Kraftfahrzeug und Verfahren zum Betrieb einer solchen
PCT/EP2006/005591 WO2007022815A1 (de) 2005-08-26 2006-06-12 Motorische verstelleinheit für ein kraftfahrzeug und verfahren zum betrieb einer solchen

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102005040369A DE102005040369A1 (de) 2005-08-26 2005-08-26 Motorische Verstelleinheit für ein Kraftfahrzeug und Verfahren zum Betrieb einer solchen

Publications (1)

Publication Number Publication Date
DE102005040369A1 true DE102005040369A1 (de) 2007-03-01

Family

ID=36992690

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005040369A Withdrawn DE102005040369A1 (de) 2005-08-26 2005-08-26 Motorische Verstelleinheit für ein Kraftfahrzeug und Verfahren zum Betrieb einer solchen

Country Status (2)

Country Link
DE (1) DE102005040369A1 (de)
WO (1) WO2007022815A1 (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010009662A1 (de) * 2010-02-27 2011-09-01 Volkswagen Ag Verfahren zur Parametrierung eines Steuergeräts für ein Fahrzeug sowie entsprechendes Steuergerät und Fahrzeug
DE102013200248A1 (de) * 2013-01-10 2014-07-24 Robert Bosch Gmbh Verfahren und Vorrichtung zum Auslegen eines Elektromotorsfür eine oder mehrere Anwendungen
DE102007030432B4 (de) 2007-06-29 2023-03-16 Continental Automotive Technologies GmbH Verfahren zur Drehrichtungserkennung eines Encoders

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19957994A1 (de) * 1999-12-02 2001-06-21 Brose Fahrzeugteile Verfahren zur Regelung motorisch angetriebener Verstelleinrichtungen in Kraftfahrzeugen

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000274792A (ja) * 1999-03-19 2000-10-06 Fujitsu General Ltd 空気調和機の制御方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19957994A1 (de) * 1999-12-02 2001-06-21 Brose Fahrzeugteile Verfahren zur Regelung motorisch angetriebener Verstelleinrichtungen in Kraftfahrzeugen

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
C.Zwanzig, C.Landgraf: Schätzung der Drehzahl einer konstant erregten Gleichstrommaschine mit Hilfe eines MRAS-Verfahrens bei Messung von Anker- strom und Ankerspannung. In: etzArchiv, 1986, Bd. 8, S.281-284
C.Zwanzig, C.Landgraf: Schätzung der Drehzahl einer konstant erregten Gleichstrommaschine mit Hilfe eines MRAS-Verfahrens bei Messung von Anker-strom und Ankerspannung. In: etzArchiv, 1986, Bd. 8, S.281-284 *
Drehzahlmessung nach dem Frequenzmessprinzip. In: Der Elektromeister + Deutsches Elektrohandwerk / de, 1974, Heft 8, S.582-585 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007030432B4 (de) 2007-06-29 2023-03-16 Continental Automotive Technologies GmbH Verfahren zur Drehrichtungserkennung eines Encoders
DE102010009662A1 (de) * 2010-02-27 2011-09-01 Volkswagen Ag Verfahren zur Parametrierung eines Steuergeräts für ein Fahrzeug sowie entsprechendes Steuergerät und Fahrzeug
DE102013200248A1 (de) * 2013-01-10 2014-07-24 Robert Bosch Gmbh Verfahren und Vorrichtung zum Auslegen eines Elektromotorsfür eine oder mehrere Anwendungen

Also Published As

Publication number Publication date
WO2007022815A1 (de) 2007-03-01

Similar Documents

Publication Publication Date Title
EP0974479B1 (de) Verfahren zur Regelung motorisch angetriebener Verstelleinrichtungen in Kraftfahrzeugen
EP1596495B1 (de) Verfahren zum sensorlosen Betrieb eines elektronisch kommutierten Motors, und Motor zur Durchführung eines solchen Verfahrens
EP2303649B1 (de) Verfahren zur erkennung des drehwinkels und einer reversierposition einer getriebe-antriebseinheit
DE10024382A1 (de) Scheibenheber-Steuervorrichtung
EP1894877A2 (de) Türantrieb für eine automatische Tür
DE10023370A1 (de) System zur elektronischen Kommutierung eines bürstenlosen Gleichstrommotors
WO2008006771A2 (de) Verfahren und vorrichtung zur bestimmung der rotorposition bei einem bürstenlosen und sensorlosen elektromotor
DE102008043483A1 (de) Verfahren und Vorrichtung zum Aktivieren einer Überwachung durch eine Einklemmschutzfunktion bei einem elektrischen Schließsystem
WO2009053138A1 (de) Verfahren und vorrichtung zur erhöhung der genauigkeit der positionsbestimmung eines motorgetriebenen schliessteiles eines fahrzeugs
DE4138194C2 (de) Verfahren und Vorrichtung zur Erfassung der Position und Bewegungsrichtung translatorisch und/oder rotatorisch bewegter Aggregate
EP2659318B1 (de) Verfahren und vorrichtung zum bereitstellen einer bewegungsangabe, insbesondere für eine blockiererkennung eines schliesssystems
DE102013218041A1 (de) Verfahren zum Betreiben eines Elektromotors
DE102019132913A1 (de) Welligkeitzählungs-filterung und verfahren und system zur erkennung von spitzenwerten
WO2010091962A1 (de) Verfahren und vorrichtung zur pulsweiten-modulierten ansteuerung eines elektrischen antriebsmotors einer verstelleinrichtung
EP2409397B1 (de) Automatische erkennung eines mechanisch kommutierten gleichstrommotors
EP1381148A2 (de) Hochauflösende Drehwinkelsensorik für Gleichstrommotoren
EP1175598A1 (de) Verfahren und vorrichtung zur bestimmung der tatsächlichen drehrichtungsumkehr eines nachlaufenden drehantriebes
DE10260588A1 (de) Steuereinrichtung für ein Schließelement und Verfahren zur Begrenzung von Stellungsabweichungen eines Schließelements
EP1798559A2 (de) Verfahren und Vorrichtung zum Bestimmen der Drehzahl einer elektrischen Maschine
DE102005040369A1 (de) Motorische Verstelleinheit für ein Kraftfahrzeug und Verfahren zum Betrieb einer solchen
DE102019103769A1 (de) Elektronische Spanneinrichtung für ein Kurzlauf-Fensterhebersystem in einer rahmenlosen Tür
WO2009043698A1 (de) Verfahren und vorrichtung zur reversiererkennung bei einer elektrischen betätigungseinheit eines fahrzeugs
DE102009019183B4 (de) Interpolationsverfahren zur Überbrückung der Freilaufphase eines Stellvorgangs
DE202005010057U1 (de) Steuerungsvorrichtung einer Verstelleinrichtung eines Kraftfahrzeugs
DE102007030656A1 (de) Verfahren zum Erkennen eines Einklemmfalles sowie Verstelleinrichtung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20130301