DE102004019199A1 - Verfahren zur Herstellung eines Halbleiterbauelements - Google Patents

Verfahren zur Herstellung eines Halbleiterbauelements Download PDF

Info

Publication number
DE102004019199A1
DE102004019199A1 DE200410019199 DE102004019199A DE102004019199A1 DE 102004019199 A1 DE102004019199 A1 DE 102004019199A1 DE 200410019199 DE200410019199 DE 200410019199 DE 102004019199 A DE102004019199 A DE 102004019199A DE 102004019199 A1 DE102004019199 A1 DE 102004019199A1
Authority
DE
Germany
Prior art keywords
layer
semiconductor device
manufacturing
silicide
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE200410019199
Other languages
English (en)
Other versions
DE102004019199B4 (de
Inventor
Ja-Hum Seongnam Ku
Sug-Woo Suwon Jung
Min-Chul Sun
Sun-Pil Youn
Min-Joo Kim
Kwan-Jong Anyang Roh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR10-2003-0024126A external-priority patent/KR100487655B1/ko
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE102004019199A1 publication Critical patent/DE102004019199A1/de
Application granted granted Critical
Publication of DE102004019199B4 publication Critical patent/DE102004019199B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Bereitgestellt wird ein Verfahren zur Herstellung eines Halbleiterbauelements, in das Silicidschichten eingebaut sind, die bei Temperaturen von weniger als etwa 700 DEG C gebildet wurden, wie Nickelsilicide, die nach Fertigstellung einer Silicidblockierschicht (SBL) gebildet werden. Die Bildung der SBL tendiert dazu, Dotierstoffspezies in den Gate-, schwach dotierten Drain- und/oder Source-/Drain-Bereichen zu deaktivieren. Die beispielhaften Verfahren umfassen eine Nach-SBL-Aktivierungstemperung entweder anstelle von oder zusätzlich zu der traditionellen Nach-Implantations-Aktivierungstemperung. Die Verwendung der Nach-SBL-Temperung erzeugt CMOS-Transistoren mit Eigenschaften, die eine Reaktivierung von ausreichend Dotierstoffen widerspiegeln, um die SBL-Prozesseffekte zu überwinden, während die Verwendung von Niedertemperatursiliciden möglich ist, einschließlich Nickelsiliciden und insbesondere Nickelsiliciden, in die ein Minoritätsanteil eines legierenden Metalls, wie Tantal, eingebaut ist, der eine reduzierte Agglomeration und eine verbesserte Temperaturstabilität zeigt.

Description

  • Die Erfindung bezieht sich auf ein Verfahren zur Herstellung von Halbleiterbauelementen und spezieller auf ein Verfahren, das die Bildung von Salicidstrukturen während Halbleiterbauelementfertigungsprozessen beinhaltet.
  • Mit fortschreitender Erhöhung der Integrationsdichte von Halbleiterbauelementen und Verringerung der mit derartigen Bauelementen verknüpften kritischen Abmessungen gab es ein entsprechendes zunehmendes Interesse hinsichtlich der Identifizierung von Materialien und Verfahren, um Interesse an niederohmigen Materialien zu wecken, um eine Signalverzögerung aufrechtzuerhalten oder zu reduzieren. Silicid- und Salicid(selbstjustierte Silicid)-Materialien und -Prozesse wurden verbreitet dazu verwendet, den Schichtwiderstand und den Kontaktwiderstand für den Gateleiter und Source-/Drain-Bereiche von MOS-Bauelementen zu verringern.
  • Eine Anzahl von Metallen, einschließlich Wolfram, Tantal, Zirkonium, Titan, Hafnium, Platin, Palladium, Vanadium, Niob, Kobalt, Nickel und verschiedenen Legierungen derartiger Metalle, wurde dazu verwendet, Silicidschichten auf Halbleiterbauelementen zu erzeugen. Für Gatelängen von weniger als etwa 100nm tendieren herkömmliche Salicidprozesse und -materialien jedoch dazu, auf eine Vielzahl von Schwierigkeiten zu stoßen, die Öffnungen, Rückstände und Schichtungleichmäßigkeit umfassen, was wenigstens teilweise aus einer Agglomeration innerhalb der Schicht aus Silicidmaterial resultiert.
  • Diese Schwierigkeiten tendieren dazu, durch die Hochtemperaturverarbeitung verschlimmert zu werden, die erforderlich ist, um das meiste Metall bzw. die meisten Metalle zur Bildung der gewünschten Silicidschichten mit Silicium zu reagieren. Die Tempervorgänge bei hoher Temperatur erforderten außerdem das Anstellen von Überlegungen hinsichtlich der Auswirkung der/des Silicidtemperprozesse(s) auf den Wärmehaushalt für die Bauelemente, die gefertigt werden. Wenn zum Beispiel Kobalt zur Bildung des Silicids verwendet wird, kann die anfängliche Stöchiometrie des Silicids allgemein als CoSi dargestellt werden, wenn jedoch der Temperprozess fortschreitet, insbesondere bei höheren Temperaturen, tendiert das Silicid dazu, eine zunehmende Menge an Silicium einzubauen, und nähert sich einer Zusammensetzung, die sich eher als CoSi2 darstellt. Für Bauelemente mit Gatelängen von weniger als etwa 100nm tendiert jedoch die zweite Hochtemperatursilicidierung, die bei herkömmlichen Co-Salicidprozessen verwendet wird, zur Induzierung von Agglomeration innerhalb der Schicht aus Silicidmaterial, was den Grad an Ungleichmäßigkeit innerhalb der Schicht erhöht und dazu tendiert, die Leistungsfähigkeit der resultierenden Bauelemente zu degradieren.
  • In 1 ist eine herkömmliche Salicidprozessabfolge dargestellt, bei der nach der Bildung einer Gatestruktur (S10) ein erster Ionenimplantationsprozess dazu verwendet wird, eine schwach dotierte Drain-Elektrode zu bilden (S20), Gate-Abstandshalter benachbart zu den Gateelektro denseitenwänden gebildet werden (S30), eine stärkere Source/Drain(S/D)-Implantation dazu verwendet wird (S40), die S/D-Bereiche in dem Substrat zu bilden, und eine Aktivierungstemperung durchgeführt wird (S50), um einen Teil der/des implantierten Dotierstoffe(s) zu aktivieren, um die Leistungsfähigkeit der implantierten Bereiche des Substrats zu modifizieren. Nach der Dotierstoffaktivierungstemperung wird eine Silicidblockierschicht gebildet (S60), eine Silicidierungsmetallschicht oder -metalllegierungsschicht wird gebildet und eine Salicidstruktur wird gebildet, indem das/die Silicidierungsmetall(e) mit freiliegenden Siliciumoberflächen reagiert werden, wonach der Rest des/der Silicidierungsmetalls/-metalle entfernt wird (S70). Nach der Bildung der Salicidstruktur kann der nicht reagierte Teil des/der Silicidierungsmetalls/-metalle entfernt werden und eine dielektrische Zwischenschicht kann gebildet werden (S80), um den Metallisierungsprozess zu starten.
  • Nickel ist ein attraktives Metall zur Bildung von Siliciden, da der zur Bildung des gewünschten Silicids erforderliche Temperprozess bei einer relativ niedrigen Temperatur durchgeführt werden kann, z.B. unterhalb etwa 550°C. In Abhängigkeit von den Reaktionsbedingungen kann Nickel mit Silicium reagieren, um Dinickelmonosilicid, Ni2Si, Nickelsilicid, NiSi, oder Nickeldisilicid, NiSi2, als Silicidierungsprodukt zu bilden. Bei Verwendung von Tempertemperaturen von mehr als etwa 550°C besteht die Tendenz, dass die Bildung des am meisten resistiven Nickeldisilicids NiSi2 und eine entsprechende Zunahme des Siliciumverbrauchs erhöht wird, und daher werden diese im Allgemeinen vermieden. Nickelsilicid, NiSi, kann hingegen vorzugsweise bei niedrigeren Temperaturen gebildet werden und liefert den geringsten Schichtwiderstand der drei Nickelsilicidphasen. Aufgrund der geringen Silicidierungstemperatur weist NiSi eine verminderte Tendenz zur Agglomeration auf und bildet eine Silicidschicht, bei welcher der Schichtwiderstand im Wesentlichen unabhängig von den Bauelementabmessungen ist, was seinen Nutzen zur Verringerung des Widerstands von feinen Linienstrukturen erhöht.
  • Der Erfindung liegt als technisches Problem die Bereitstellung eines verbesserten Verfahrens zur Bildung eines Halbleiterbauelements zugrunde, das die vorstehend erwähnten Schwierigkeiten der Verfahren des Standes der Technik wenigstens teilweise vermeidet.
  • Die Erfindung löst dieses Problem durch Bereitstellen eines Verfahrens gemäß Anspruch 1, 2 oder 8. Das Verfahren der Erfindung beinhaltet die Bildung von Silicidstrukturen unter Verwendung von Nickel, einer Nickellegierung oder eines anderen Metalls oder einer Metalllegierung, die in der Lage sind, Silicide bei niedrigeren Temperaturen zu bilden, z.B. weniger als etwa 725°C, um die Salicidschicht zu bilden, und die Wärmebehandlungsabfolge zu modifizieren, um zuvor deaktivierte Dotierstoffatome zu reaktivieren.
  • Ausführungsformen der vorliegenden Erfindung stellen Verfahren zur Bildung einer Gatestruktur bereit, wobei eine oder mehrere Dotierstoffimplantationen durchgeführt werden, typischerweise eine schwach dotierte Drain(LDD)-Implantation, gefolgt von einer Gateabstandshalterbildung und einer Source/Drain(S/D)-Implantation, eine Silicidblockierschicht (SBL) gebildet wird, der Dotierstoff aktiviert (oder reaktiviert) wird, ein Silicidierungsmetall oder eine Silicidierungsmetalllegierung aufgebracht und eine Silicidschicht gebildet wird, indem das Metall oder die Metalllegierung mit den freigelegten Bereichen des Siliciums bei einer Silicidierungstemperatur von weniger als etwa 700°C reagiert wird, wie Nickel und Nickel/Tantal-Legierungen, um eine Salicidstruktur zu erzeugen.
  • Wenn die Wärmeprozesse mit einer SBL- und Salicidstrukturbildung bei niedrigerer Temperatur verknüpft sind, was z.B. Temperaturen von typischerweise weniger als etwa 700°C beinhaltet, wird das mit den Prozessen verknüpfte Wärmebudget reduziert, was die Bildung von höher in tegrierten Bauelementen ermöglicht, diese Niedertemperaturprozesse sind jedoch auch mit einer Dotierstoffdeaktivierung verknüpft, die dazu tendiert, den Widerstandswert und Schwellenspannungen der resultierenden Bauelemente zu erhöhen und demgemäß ihre Leistungsfähigkeit zu reduzieren.
  • Beispielhafte Verfahren zur Bildung derartiger Niedertemperatur-Nickelsilicidschichten können die Bildung einer Deckschicht aus einem Material wie Titannitrid, TiN, und speziell einer stickstoffreichen TiN-Schicht, d.h. einer TiN-Schicht mit einem atomaren Verhältnis von N:Ti von wenigstens etwa 0,5, auf der Nickellegierungsschicht vor der Bildung des Silicids umfassen. Das ausgewählte legierende Metall sollte sowohl eine Diffusivität als auch eine Löslichkeit in Silicium aufweisen, die geringer als die entsprechenden Werte für Nickel sind. Das legierende Metall sollte außerdem eine Silicidierungstemperatur über derjenigen aufweisen, die zur Bildung von Nickelsilicid notwendig ist.
  • In Abhängigkeit von dem speziellen Prozessfluss, der zur Herstellung des Substrats vor der Deposition des Metalls oder der Metalllegierung verwendet wird, können Metallsilicide gemäß den beispielhaften Ausführungsformen der Erfindung nur auf der Gateelektrodenstruktur, nur auf der Oberfläche von aktiven Bereichen, z.B. den Source/Drain-Bereichen von MOS-Transistoren, oder sowohl auf der Gateelektrode als auch den aktiven Bereichen gebildet werden.
  • In jenen Fällen, in denen das Metallsilicid nicht auf der Gateelektrode gebildet wird, beinhaltet die Gateelektrodenstruktur typischerweise eine oder mehrere Deck- oder SBL-Schichten, um jegliche Polysiliciumschicht zu schützen, die in der Gateelektrodenstruktur über der Gatedielektrikumschicht eingebaut ist. In jenen Fällen, in denen das Metallsilicid auf die Gateelektrode begrenzt ist, kann eine Isolatordepositionssequenz, typischerweise eine oder mehrere Schichten aus Oxid aus einer chemischen Gasphasenabscheidung (CVD), auf dem Substrat gebildet werden. Der obere Bereich des/der Isolators/Isolatoren kann dann zum Beispiel unter Verwendung eines chemisch-mechanischen Polier- oder eines Rückätzprozesses entfernt werden, um eine obere Siliciumoberfläche auf der Gateelektrodenstruktur freizulegen, während die aktiven Bereiche davor geschützt werden, dem Metall oder der Metalllegierung ausgesetzt zu sein.
  • In jedem Fall wird eine Schicht aus einem Metall oder einer Metalllegierung auf einem Substrat aufgebracht, das Bereiche mit freigelegten Silicium- und/oder Polysiliciumoberflächen aufweist, typischerweise ("typischerweise" bedeutet nicht, dass dies Stand der Technik ist) gefolgt von der Deposition einer optionalen Deckschicht, wie TiN. Eine Wärmebehandlung, typischerweise bei einer Temperatur von wenigstens 250°C und typischerweise geringer als 700°C, wird dazu verwendet, das Metall oder die Metalllegierung mit den freigelegten Siliciumoberflächen zu reagieren, um das gewünschte Silicid zu bilden. Die Deckschicht und das nicht reagierte Metall bzw. die nicht reagierte Metalllegierung können dann entfernt werden, um das Substrat für eine weitere Verarbeitung zu präparieren.
  • Wenn das primäre Silicidierungsmetall aus Nickel besteht, können ein oder mehrere legierende Metalle aus einer Gruppe ausgewählt werden, die aus Tantal, Vanadium, Zirkonium, Hafnium, Wolfram, Kobalt, Platin, Chrom, Palladium und Niob besteht, der Gesamtgehalt der legierenden Metalle bildet jedoch typischerweise nicht mehr als etwa 20 Atomprozent der Nickellegierung und kann z.B. mit einem Gehalt in der Größenordnung von über 0,1 Atomprozent vorliegen. Typischerweise besteht das legierende Metall aus Tantal und liegt in einer Konzentration von etwa 0,1 Atomprozent bis etwa 10 Atomprozent der Nickellegierung vor. Die Bildung des Nickelsilicids beinhaltet typischerweise eine Erwärmung der Nickellegierung in Kontakt mit einer Siliciumoberfläche auf eine Tempe ratur über etwa 200°C und unterhalb etwa 700°C, noch typischer zwischen etwa 250°C und etwa 500°C, während einer Zeitspanne von wenigstens etwa 10 Sekunden und möglicherweise bis zu etwa 30 Minuten oder mehr. Bei Verwendung einer Nickellegierung, insbesondere einer Nickeltantallegierung, sollte die spezielle Zeit- und Temperaturkombination, die ausgewählt wird, vorzugsweise ausreichend sein, um eine Nickelsilicidstruktur aus zwei Schichten zu erzeugen, bei welcher der Hauptteil des Nickels in der unteren Schicht als NiSi vorliegt und der Hauptteil des legierenden Metalls in die obere Schicht segregiert ist.
  • Wenngleich die gemäß den beispielhaften Ausführungsformen der vorliegenden Erfindung hergestellten Nickelsilicide dazu tendieren, eine höhere thermische Stabilität, insbesondere bei Temperaturen über etwa 600°C, als Nickelsilicide aufzuweisen, die aus nicht legiertem Nickel hergestellt werden, sollte die thermische Aktivität nachfolgender Prozesse im Wesentlichen so gewählt werden, dass der Hauptteil, typischerweise wenigstens 90%, der unteren Schicht des Silicids in der ursprünglichen NiSi-Phase gehalten wird, d.h. eine Wandlung von NiSi in NiSi2 in dem endgültigen Halbleiterbauelement unterdrückt wird.
  • Nickelsilicide, die gemäß den beispielhaften Ausführungsformen der vorliegenden Erfindung hergestellt werden, zeigen Verbesserungen der Leistungsfähigkeit sowohl gegenüber aus nicht legiertem Nickel hergestellten Nickelsiliciden als auch gegenüber herkömmlicheren Kobaltsiliciden, insbesondere für Bauelemente, in die Gatestrukturen unter 100nm eingebaut sind, und spezieller für Bauelemente, in die Gatestrukturen unter 50nm eingebaut sind. Insbesondere tendieren Nickelsilicide gemäß der vorliegenden Erfindung dazu, einen niedrigeren und stabileren Schichtwiderstand aufzuweisen, sowohl nach der Bildung als auch speziell nach einer weiteren thermischen Bearbeitung.
  • In ähnlicher Weise tendieren Bauelemente, in die Nickelsilicide gemäß den beispielhaften Ausführungsformen eingebaut sind, dazu, verbesserte Schwellenspannungen Vth, reduzierte Diodenleckströme, eine verbesserte Leistungsfähigkeit hinsichtlich Abschaltstrom Idoff und Sättigungsstrom Idsat gegenüber der Leistungsfähigkeit aufzuweisen, die von identischen Bauelementen bereitgestellt wird, in die Kobaltsilicide eingebaut sind, insbesondere in PMOS-Bauelementen. Die Hinzufügung einer Deckschicht mit hoher Zugspannungsdehnung, wie zum Beispiel einer SiON-Schicht, insbesondere auf NMOS-Transistoren, in welche die beispielhaften Nickelsilicide gemäß der Erfindung eingebaut sind, kann die Leistungsfähigkeit eines NMOS-Bauelements weiter verbessern.
  • Beispielhafte Ausführungsformen der Erfindung werden im Folgenden vollständiger unter Bezugnahme auf die beigefügten Zeichnungen erläutert, in denen:
  • 1 bestimmte Prozessschritte in einem herkömmlichen Verfahren zur Herstellung von Halbleiterbauelementen zeigt, in die eine Salicidstruktur eingebaut ist,
  • 2A und 2B graphische Darstellungen sind, welche die Temperatur in Abhängigkeit von der Deaktivierung und der Aktivierung von Dotierstoffen für NMOS- und PMOS-Transistoren zeigen,
  • 3A und 3B bestimmte Prozessschritte gemäß zweier beispielhafter Verfahren zur Herstellung von Halbleiterbauelementen gemäß der vorliegenden Erfindung darstellen, in die eine Salicidstruktur eingebaut ist,
  • 4A bis 4G bestimmte Schritte darstellen, die mit der Herstellung von Halbleiterbauelementen verknüpft sind, in die eine Sali cidstruktur gemäß den beispielhaften Ausführungsformen der Erfindung eingebaut ist,
  • 4H eine vergrößerte Darstellung eines Bereichs H der Silicidstruktur in einem S/D-Bereich ist, die gemäß dem in den 4A bis 4G unter Verwendung einer Nickel/Tantal-Legierung als Silicidierungsmetall dargestellten Verfahren hergestellt wurde,
  • 5A und 5B graphische Darstellungen sind, welche die Änderungen der Schwellenspannung Vth in NMOS- und PMOS-Transistoren darstellen, die CoSi2- und NiSi-Silicide verwenden,
  • 6A und 6B graphische Darstellungen sind, welche die Schichtwiderstandsverteilungen von n+(S/D)- und n(LDD)-dotierten Bereichen als Funktion der Temper-/SBL-Sequenz darstellen,
  • 7A und 7B graphische Darstellungen sind, welche den Drainsättigungsstrom Idsat in NMOS- und PMOS-Transistoren darstellen, die CoSi2- und NiSi-Silicide verwenden,
  • 8A und 8B graphische Darstellungen sind, welche den Polygateverarmungseffekt für SBL-Prozesse für NMOS- und PMOS-Transistoren bei 500°C und 750°C zeigen,
  • 9A und 9B graphische Darstellungen sind, welche die Änderung von Vth abhängig von der Gatelänge für NMOS- und PMOS-Transistoren, die CoSi2- und NiSi-Silicide verwenden, ohne einen SBL-Prozess zeigen,
  • 10A und 10B graphische Darstellungen sind, welche die Gateoxidkapazitäts-Spannungs(C-V)-Kurven für NMOS- und PMOS-Transistoren, die CoSi2- und NiSi-Silicide verwenden, ohne einen SBL-Prozess zeigen,
  • 11A und 11B graphische Darstellungen sind, welche die Gateoxidkapazitäts-Spannungs(C-V)-Kurven für NMOS- und PMOS-Transistoren, die NiSi-Silicid verwenden, als Funktion des Vorliegens und des zeitlichen Ablaufs von Aktivierungstempervorgängen und eines SBL-Prozesses zeigen,
  • 12A und 12B graphische Darstellungen sind, welche den Drainsättigungsstrom Idsat in NMOS- und PMOS-Transistoren, die NiSi-Silicid verwenden, als Funktion des Vorliegens und des zeitlichen Ablaufs von Aktivierungstemperungen und eines SBL-Prozesses zeigen, und
  • 13A und 13B graphische Darstellungen sind, welche den Drainsättigungsstrom Idsat in NMOS- und PMOS-Transistoren zeigen, die verschiedene Co- und Ni-Silicide verwenden und unter Verwendung des SBL-Auslassprozesses hergestellt wurden.
  • Diese Zeichnungen werden bereitgestellt, um das Verständnis der beispielhaften Ausführungsformen der Erfindung zu unterstützen, wie sie nachstehend detaillierter beschrieben sind. Die relative Beabstandung, die Größen und Abmessungen der verschiedenen Elemente, die in der Zeichnung dargestellt sind, sind nicht maßstäblich gezeigt und können zwecks verbesserter Deutlichkeit übertrieben dargestellt, reduziert oder in anderer Weise modifiziert sein. Dem Fachmann ist außerdem ersichtlich, dass bestimmte Schichten, die üblicherweise bei der Herstellung von Halbleiterbauelementen verwendet werden und zum Beispiel Photoresiststrukturen und Mehrschichtmetallisierungsstrukturen beinhalten, einfach weggelassen wurden, um die Deutlichkeit zu verbessern und die Anzahl von Zeichnungen zu reduzieren.
  • Wie in 3A dargestellt, umfasst ein beispielhaftes Verfahren zur Bildung eines Halbleiterbauelements gemäß der vorliegenden Erfindung folgende Schritte: Bilden einer Gatestruktur, S110, Bilden einer schwach dotierten Drainelektrode (LDD) unter Verwendung der Gatestruktur als Implantationsmaske, S120, Bilden von Abstandshalterstrukturen benachbart zu den Seitenwänden der Gatestruktur, S130, Bilden der Source-/Drainbereiche unter Verwendung der Gatestruktur und der Abstandshalterstrukturen als Implantationsmaske, S140, Bilden einer Silicidblockierschicht (SBL), S150, Durchführen einer Dotierstoffaktivierungstemperung, S160, Bilden einer Salicidstruktur, S170, und Bilden eines Zwischenschichtdielektrikums (ILD), S180, um den Metallisierungsprozess zu starten, durch den die aktiven Bauelemente miteinander und mit externen Kontakten verbunden werden. Der Silicidierungsprozess kann ein sequentielles Aufbringen einer Nickellegierungsschicht und einer Titannitridschicht sowie eine Erwärmung auf eine Temperatur beinhalten, die ausreicht, dass die Nickellegierung ein Silicid mit den freiliegenden Siliciumoberflächen bildet.
  • Wie in 3B dargestellt, umfasst ein weiteres exemplarisches Verfahren zur Bildung eines Halbleiterbauelements gemäß der vorliegenden Erfindung folgende Schritte: Bilden einer Gatestruktur, S210, Bilden einer schwach dotierten Drainelektrode (LDD) unter Verwendung der Gatestruktur als Implantationsmaske, S220, Bilden von Abstandshalterstrukturen benachbart zu den Seitenwänden der Gatestruktur, S230, Bilden der Source-/Drainbereiche unter Verwendung der Gatestruktur und der Abstandshalterstrukturen als Implantationsmaske, S240, Durchführen einer ersten Dotierstoffaktivierungstemperung, S250, Bilden einer Silicidblockierschicht (SBL), S260, Durchführen einer Nach-SBL-Temperung, S270, Bilden einer Salicidstruktur, S280, und Bilden eines Zwischenschichtdielektrikums (ILD), S290, um den Metallisierungsprozess zu starten, durch den die aktiven Bauelemente miteinander und mit externen Kontakten verbunden werden.
  • Wie in 4A dargestellt, kann ein Halbleiterbauelement in einem Substrat 51 ausgebildet werden, auf dem oder in dem Isolationsbereiche 53 ausgebildet werden, um einen aktiven Bereich zu definieren, wobei ein herkömmlicher Isolationsprozess mit flachem Graben (STI) verwendet wird. Wie dargestellt, kann in dem aktiven Bereich eine Gatestruktur ausgebildet werden, die eine Gateisolatorschicht 55, eine Gateelektrode 57, die eine erste Leiterschicht, wie dotiertes Polysilicium, beinhalten kann, eine Barrierenschicht, wie Wolframnitrid, eine zweite Leiterschicht, wie Wolfram, und optional eine Deckschicht beinhaltet. Unter Verwendung der Gatestruktur als Implantationsmaske kann ein erster Ionenimplantationsprozess verwendet werden, um einen schwach dotierten Bereich 59 zu bilden, wie einen schwach dotierten Drainbereich nahe der Oberfläche der freiliegenden Teile des aktiven Bereichs.
  • Wie in den 4B und 4C dargestellt, können dann Seitenwandstrukturen benachbart zu den vertikalen Oberflächen der Gatestruktur unter Verwendung eines herkömmlichen Prozesses gebildet werden, der die Deposition von einer oder mehreren isolierenden Schichten beinhaltet, die ein erstes Material 61, typischerweise Siliciumoxid, und ein zweites Material 63, typischerweise Siliciumnitrid, beinhalten können, gefolgt von einem Rückätzprozess, um Abstandshalter 64 aus den verbleibenden Teilen 61a und 63a der Siliciumoxid- und Siliciumnitridschichten zu bilden. Unter Verwendung der Gateelektrode 57 und der Seitenwandstrukturen 64 als Implantationsmaske kann eine zweite Ionenimplantation verwendet werden, um eine höhere Konzentration eines Dotierstoffes 65 in die freiliegenden Teile des aktiven Bereichs einzubringen, um die Bildung der Source-/Drainbereiche des Bauelements benachbart zu der Gateelektrode fertigzustellen.
  • Wie in 4D dargestellt, wird dann eine Silicidblockierschicht (SBL) 70, die typischerweise eine Siliciumoxidschicht 67 und eine Siliciumnitridschicht 69 beinhaltet, auf dem Substrat gebildet, um jene Bereiche zu schützen, die keine aktiven Bereiche sind, insbesondere jene Bereiche, die für die Bildung von Elementen gedacht sind, wie Widerständen, die in Schutzschaltkreisen gegen elektrostatische Entladung (ESD) verwendet werden, welche einen höheren Schichtwiderstand erfordern, um richtig zu arbeiten. Wenngleich als Kombination von Siliciumoxid und Siliciumnitrid dargestellt, kann die SBL nach Wunsch aus einem einzigen Material gebildet sein, wie Siliciumnitrid, oder aus Kombinationen weiterer geeigneter Materialien. Die Schicht(en), aus der denen) die SBL besteht, kann(können) unter Verwendung eines chemischen Gasphasenabscheidungsprozesses (CVD) aufgebracht werden, typischerweise bei einer Temperatur zwischen etwa 535°C und etwa 825°C. Ein CVD-Siliciumnitrid kann zum Beispiel bei etwa 700°C gebildet werden.
  • Bei den Prozesstemperaturen, die typischerweise während der Bildung der SBL verwendet werden, besteht jedoch eine Tendenz, dass ein Teil der Dotierstoffstörstellen, die in der Gateelektrodenstruktur 57a, typischerweise phosphordotiertes Polysilicium, dem LDD-Bereich 59a und den S/D-Bereichen 65a vorhanden sind, deaktiviert wird. Die Deaktivierung dieser Dotierstoffe tendiert dazu, den Widerstand der dotierten Bereiche zu erhöhen, den Übergangsleckstrom zu erhöhen und die Gate-Kapazität zu reduzieren, sämtlich Veränderungen, die dazu tendieren, die Leistungsfähigkeit der resultierenden Halbleiterbauelemente zu verschlechtern.
  • Wie in 4E dargestellt, kann das Substrat nach Bildung der SBL einer Nach-SBL-Temperung unterworfen werden, um einen Teil der inaktiven oder deaktivierten Dotierstoffspezies zu aktivieren oder zu reaktivieren und reaktivierte Gateelektrodenstrukturen 57b, LDD-Bereiche 59b und S/D-Bereiche 65b zu bilden, die einen entsprechend verbesserten Wi derstand, Leckstrom und Kapazität zeigen. Die Nach-SBL-Temperung kann unter Verwendung eines schnellen thermischen Prozesses (RTP), auch als schnelle thermische Temperung (RTA) bekannt, bei einer Temperatur von etwa 830°C bis etwa 1150°C durchgeführt werden, typischerweise bei etwa 1000°C bis etwa 1100°C. Die Nach-SBL-Temperung wird vorzugsweise unter einer nicht-oxidierenden Atmosphäre durchgeführt, typischerweise in einer N2-Gasumgebung, wenngleich andere inerte Gase, wie Ar, oder Gasmischungen verwendet werden können. Zusätzlich zu dem RTP-Prozess kann die Nach-SBL-Temperung mit jeglichem geeigneten Erwärmungsprozess durchgeführt werden, einschließlich zum Beispiel Lasertempern, Spike-Tempern und Flash-Tempern.
  • Wie in 4E dargestellt, wird das Substrat typischerweise mit einer HF-Lösung und möglicherweise mit anderen Substanzen behandelt, um jegliches natürliche Oxid zu entfernen, das sich auf den freiliegenden Siliciumoberflächen gebildet hat. Nach der Reinigung der Siliciumoberflächen kann dann eine Schicht aus einem Silicidierungsmetall oder einer Metalllegierung 71, wie einer Nickel/Tantal-Legierung, und vorzugsweise eine Deckschicht (nicht gezeigt), wie Titannitrid, über der reaktivierten Gatestruktur, den LDD- und Source-/Drainbereichen und Isolationsbereichen gebildet werden. Wenn ein Nickelsilicid gebildet wird, kann das Bauelement dann bei einer Temperatur typischerweise zwischen etwa 400°C und etwa 530°C und vorzugsweise wenigstens über 450°C und während einer Zeitspanne getempert werden, die ausreicht, eine Reaktion der Metall- oder Metalllegierungsschicht 30 mit dem freiliegenden Silicium des aktiven Bereichs zu bewirken und einen Silicidbereich 71b und/oder den Gateelektrodenbereich zu bilden und einen Silicidbereich 71a zu bilden, wobei zum Beispiel ein schneller thermischer Temperprozess (RTP) verwendet wird. Wenn ein Nickelsilicid gebildet wird, ist es insbesondere bevorzugt, dass die Silicidierungstemperatur etwa 550°C nicht übersteigt, um die Bildung des hochohmigeren tertiären Ni ckelsilicids NiSi2 zugunsten des niederohmigeren sekundären Nickelsilicids NiSi zu unterdrücken.
  • In Abhängigkeit von dem verwendeten Silicidierungsmetall oder der Metalllegierung wird die Silicidierungstemperatur entsprechend eingestellt, um sowohl sicherzustellen, dass das gewünschte Silicid im Wesentlichen vollständig gebildet wird, als auch sicherzustellen, dass der Beitrag zum thermischen Budget dieses Prozesses nicht übermäßig ist. Da die Bildung des Silicids weitgehend oder vollständig auf jene Bereiche begrenzt ist, in denen eine Siliciumoberfläche vor der Deposition der Nickel-Legierung 30 absichtlich freigehalten wurde, wird die Silicidbildung als selbstjustiertes Silicid (Salicid) betrachtet.
  • Wie in 4G dargestellt, können die Deckschicht (nicht gezeigt), wenn sie verwendet wird, und der nicht-reagierte Teil der Metall- oder Metalllegierungsschicht 71 zum Beispiel unter Verwendung einer Lösung entfernt werden, die Schwefelsäure, H2SO4, und Wasserstoffperoxid, H2O2, beinhaltet, wobei die Silicidbereiche 71a, 71b auf der Oberfläche der Gateelektrode beziehungsweise der Source-/Drainbereiche innerhalb des aktiven Bereichs verbleiben. Über dem Substrat kann eine Dielektrikumzwischenschicht (ILD) 73 gebildet werden. Wenngleich als Einzelschicht dargestellt, ist für den Fachmann ersichtlich, dass die ILD 73 mehr als eine Schicht bzw. mehr als ein Material beinhalten kann und einer zusätzlichen Verarbeitung unterworfen werden kann, wie einem chemisch-mechanischen Poliervorgang oder einer Rückätzbehandlung, um die Planarität der resultierenden Oberfläche zu verbessern. Dann können Kontaktöffnungen (nicht gezeigt) in der ILD und Metallstrukturen (nicht gezeigt) unter Verwendung einer breiten Vielfalt herkömmlicher und/oder eigener Depositions-, Ätz- und Metallisierungsprozesse und Materialien gebildet werden, um die elektrischen Verbindungen zu den Transistoren und anderen Schaltkreiselementen bereitzustellen, die zur Erzeugung eines funktionellen Bauelements notwendig sind.
  • Wie in den 4E bis 4G dargestellt, kann ein Silicid an den Oberseiten sowohl der Source-/Drainbereiche als auch der Gateelektrode gebildet werden, wenn die Zusammensetzung der Gatestruktur derart ist, dass eine Siliciumoberfläche während der Deposition der Metall- oder Metalllegierungsschicht freiliegt. Für den Fachmann ist jedoch ersichtlich, dass es durch Modifizieren der in 4D dargestellten Struktur durch die Verwendung der SBL oder einer Kombination weiterer Prozessschritte, die zur Bildung einer oder mehrerer Barrierenschichten geeignet sind, möglich ist, die Silicidbereiche selektiv lediglich in den S/D-Bereichen oder auf der Gateelektrode zu bilden. Wenn zum Beispiel die Gateelektrodenstruktur 57 so gefertigt wird, dass sie eine dotierte Polysiliciumschicht beinhaltet, die von einer oder mehreren Barrieren- oder Deckschichten umgeben ist, kann die Bildung eines Silicidbereichs auf der Gateelektrode unterdrückt werden, was zu einer selektiven Bildung lediglich in den freiliegenden Source-/Drainbereichen führt.
  • Wie in 4H dargestellt, die den in 4G markierten Bereich H repräsentiert, erzeugt der Silicidierungsprozess, wenn bestimmte Metalle oder Metalllegierungen in dem Silicidierungsprozess verwendet werden, zum Beispiel Nickel-Tantal-Legierungen, eine zweischichtige Silicidstruktur, in der das primäre Metall vorzugsweise in eine untere Schicht 71aL segregiert sein kann, wobei das legierende Metall primär in der oberen Schicht 71aU verbleibt.
  • Experimentelle Daten
  • Um die Wirkung einer Dotierstoffdeaktivierung auf einen Transistor zu untersuchen, werden die Vth von Langkanaltransistoren für verschiedene zusätzliche Temperungen überwacht, die zwischen der Dotierstoffaktivierung und dem Salicidprozess durchgeführt werden (es wird kein SBL-Prozess angewendet). Wenn jedoch, wie in den 2A und 2B dargestellt, Halbleiterbauelemente gemäß dem in 1 dargestellten herkömmlichen Prozess erzeugt werden, können die Temperaturen, die während der Verarbeitung nach der Aktivierungstemperung einwirken, die Leistungsfähigkeit der resultierenden Bauelemente beeinflussen. Insbesondere bei Prozessen wie der Bildung einer Siliciumblockierstruktur, während der die Prozesstemperatur etwa 700°C nicht übersteigt, tendiert ein Teil der aktivierten Dotierstoffspezies dazu, zu deaktivieren, wodurch eine Tendenz besteht, dass sich sowohl der Widerstand der implantierten Bereiche als auch die Schwellenspannung der beeinflussten Transistoren erhöhen. Diese Dotierstoffdeaktivierung tendiert daher sowohl dazu, die Geschwindigkeit zu reduzieren als auch die Stromführungsfähigkeiten der resultierenden Bauelemente zu verringeren, wodurch eine Tendenz besteht, die betriebliche Leistungsfähigkeit und möglicherweise die Funktionalität der resultierenden Bauelemente zu verschlechtern. Im Fall einer Kobalt-Salicidierung kann der Dotierstoff in S70 von 1 reaktiviert werden, da eine Kobaltsalicidierung bei etwa 850°C durchgeführt wird. Im Fall einer Nickel-Salicidierung kann der Dotierstoff jedoch nicht in S70 von 1 reaktiviert werden, da eine Nickel-Salicidierung bei weniger als 500°C durchgeführt wird.
  • Um die Verwendbarkeit und Effektivität der vorliegenden Erfindung zu demonstrieren, wurde eine Anzahl von NMOS- und PMOS-Transistoren und Gateoxidkondensatoren unter Verwendung sowohl herkömmlicher Prozesse und Materialien, modifizierter herkömmlicher Verfahren als auch exemplarischer Prozesse und Materialien gemäß der vorliegenden Erfindung hergestellt. Dann wurden verschiedene Auswertungen durchgeführt, um Daten hinsichtlich der Charakteristika von Schwellenspannung Vth, Drainsättigungsstrom Idsat, und Kapazität-Spannung C-V, sowie Schichtwiderstand Rs als Funktion der verwendeten Materialien und Prozesse zu sammeln.
  • Wie in den 5A und 5B dargestellt, wird bei Verwendung eines herkömmlichen Prozesses gemäß 1, bei dem der Silicidierungsprozess nach der SBL-Bildung erfolgt, bei jenen Metallen und Metalllegierungen, die eine höhere Silicidierungstemperatur verwenden, wie Co (eingezeichnet als Δ) mit seiner Silicidierungstemperatur über etwa 750°C, der Dotierstoff reaktiviert, der während des SBL-Prozesses deaktiviert wurde. Jene Silicidierungsprozesse jedoch, die ein Metall oder eine Metalllegierung mit einer niedrigeren Silicidierungstemperatur verwenden, wie Nickel oder eine Nickel-Legierung (eingezeichnet als O), das/die unter etwa 530°C verarbeitet wird, tendieren dazu, dass keine Reaktion stattfindet und in Wirklichkeit in Abhängigkeit von der Temperatur eine weitere Deaktivierung der Dotierstoffe stattfindet. Der Einfluss der Silicidierungstemperatur spiegelt sich in den in den 5A und 5B dargestellten Kurven wider, welche die Zunahme der Schwellenspannung Vth sowohl in NMOS- als auch PMOS-Bauelementen zeigen, die mit dem Silicidierungsprozess bei niedrigerer Temperatur verknüpft ist, der zur Bildung von NiSi verwendet wird, im Gegensatz zu dem Silicidierungsprozess bei höherer Temperatur, der zur Bildung von CoSi2 verwendet wird.
  • Wie in den 6A und 6B dargestellt, tendiert die Dotierstoffdeaktivierung, die mit der SBL-Bildung nach der Aktivierungstemperung (eingezeichnet als O) verknüpft ist, wenn sie nicht durch eine spätere Reaktivierungstemperung (eingezeichnet als ☐) behoben wird, die nach der SBL-Bildung durchgeführt wird, dazu, sowohl die Größe als auch die Änderung des Schichtwiderstands Rs der darunterliegenden LDD- und S/D-Bereiche zu erhöhen. Dieser erhöhte Widerstand tendiert dazu, wenn er nicht korrigiert wird, die Geschwindigkeit der resultierenden Bauelemente zu reduzieren und die Sättigungsströme zu reduzieren, die erzielt werden können.
  • Wie in den 7A und 7B dargestellt, zeigen NMOS- und PMOS-Bauelemente, die ein CoSi2-Silicid (eingezeichnet als
    Figure 00180001
    ) verwenden, bei Verwenden eines Prozesses, der im Wesentlichen dem in 1 dargestellten herkömmlichen Prozess entspricht, höhere Werte des Sättigungs-Drainstroms Idsat als jene, die bei Verwendung eines Niedertemperatursilicids, speziell NiSi (eingezeichnet als O und ☐), erzielt werden. Bei einer Auswertung mit einem Wert des Drain-Abschaltstroms Idoff von 10nA zeigen die NMOS-Transistoren eine Degradation von Idsat von etwa 8%. Eine ähnliche Auswertung für die PMOS-Transistoren ergibt eine Degradation von etwa 5% oder weniger, wahrscheinlich teilweise aufgrund der verbesserten Leitfähigkeit, die durch das Silicid bereitgestellt wird.
  • Wie in den Kapazitäts-Spannungs-Kurven in den 8A und 8B dargestellt, kann bei jenen Metallen und Metalllegierungen, die eine höhere Silicidierungstemperatur verwenden, wie Co (bezeichnet mit Δ) mit seiner Silicidierungstemperatur von mehr als etwa 750°C, bei Verwendung eines herkömmlichen Prozesses gemäß 1, bei dem der Silicidierungsprozess nach der SBL-Bildung erfolgt, wenigstens ein Teil des Dotierstoffes reaktiviert werden, der während des SBL-Prozesses deaktiviert wurde. Bei jenen Silicidierungsprozessen jedoch, die ein Metall oder eine Metalllegierung mit einer niedrigeren Silicidierungstemperatur verwenden, wie Nickel oder eine Nickel-Legierung (bezeichnet mit O), die bei Silicidierungstemperaturen von weniger als 530°C verarbeitet werden, in diesem Fall etwa 500°C, besteht eine Tendenz zu einer geringen oder keinen Reaktivierung und es kann tatsächlich in Abhängigkeit von der Temperatur sogar eine weitere Deaktivierung der Dotierstoffe stattfinden. Der Einfluss der Nach-SBL-Silicidierungstemperatur spiegelt sich in den als 8A und 8B dargestellten Kurven wider, die im Bereich A die Abnahme der Gatekapazität sowohl der NMOS- als auch der PMOS-Bauelemente zeigen, die mit dem Silicidierungsprozess bei niedrigerer Temperatur verknüpft ist, der zur Bildung von NiSi verwendet wird, im Gegensatz zu dem Silicidierungsprozess bei höherer Temperatur, der zur Bildung von CoSi2 verwendet wird. Wie in den 8A und 8B reflektiert, verringerte die Deaktivierung des Dotierstoffs bzw. der Dotierstoffe durch den SBL-Prozess die Gateoxid-C-V-Inversionskapazität um etwa 10%.
  • Wie in den 9A und 9B dargestellt, besteht bei Verwendung eines herkömmlichen Prozesses im Wesentlichen gemäß 1, jedoch ohne Durchführen des SBL-Schritts, nur ein sehr geringer Unterschied hinsichtlich der Schwellenspannung Vth zwischen Transistoren, die Metalle und Metalllegierungen mit einer höheren Silicidierungstemperatur verwenden, wie Co (bezeichnet mit Dreiecken), und jenen, die Metalle mit niedrigeren Silicidierungstemperaturen verwenden, wie eine Nickellegierung (bezeichnet mit O und ☐), die bei etwa 500°C verarbeitet wird. Tatsächlich tendieren die in den 9A und 9B dargestellten Vth-Daten dazu, die im Wesentlichen identischen NMOS- und PMOS-Schwellenspannungen widerzuspiegeln, die sowohl für CoSi2- als auch NiSi-Silicide erzielt werden, was den Einfluss der Dotierstoffdeaktivierung während der SBL-Prozess-Silicidierungstemperatur anzeigt. Dieser Schluss wird durch die in den 10A und 10B dargestellten Daten bestärkt, welche die C-V-Kurven für Gateoxid-Kondensatoren zeigen, die sowohl mit Co-Si2- als auch NiSi-Siliciden hergestellt wurden, welche nicht die herkömmliche SBL-Verarbeitung erfahren haben. Insbesondere im Vergleich mit den in den 8A und 8B dargestellten, entsprechenden graphischen Darstellungen spiegelt sich die Degradation der Leistungsfähigkeit, die aus der Dotierstoffdeaktivierung resultiert, sowohl in den NMOS- als auch den PMOS-Daten deutlich wider.
  • Wie in den 11A und 11B dargestellt, illustriert ein Vergleich von Gateoxidkondensatoren mit Ni-Silicid, die unter Verwendung des herkömmlichen Prozesses gemäß 1 (eingezeichnet als ☐) bzw. dem herkömmlichen Prozess ohne den SBL-Schritt (eingezeichnet als Δ), hergestellt wurden, mit einer exemplarischen Ausführungsform der vorliegenden Erfindung, die eine Nach-SBL-Temperung (eingezeichnet als O) be inhaltet, die Nützlichkeit der Nach-SBL-Temperung zur Reaktivierung des Dotierstoffs. Dieses Ergebnis spiegelt sich in der substantiellen Gleichmäßigkeit der für den Prozess ohne SBL und den Nach-SBL-Temperprozess erzeugten C-V-Kurven wider, die beide eine Kapazität zeigen, die größer als jene ist, die durch den herkömmlichen Prozess erzielt wird.
  • Wie in den 12A und 12B dargestellt, zeigt sich des Weiteren bei einem Vergleich des Drain-Sättigungsstroms Idsat und des Drain-Abschaltstroms Idoff sowohl für NMOS- als auch PMOS-Transistoren mit Ni-Silicid, die unter Verwendung des herkömmlichen Prozesses gemäß 1, des herkömmlichen Prozesses ohne den SBL-Schritt (eingezeichnet als ☐), und einer exemplarischen Ausführungsform der vorliegenden Erfindung hergestellt wurden, die eine Nach-SBL-Temperung beinhaltet (eingezeichnet als Δ), die Nützlichkeit der Nach-SBL-Temperung zur Reaktivierung des Dotierstoffs. Wie in den jeweiligen graphischen Darstellungen gezeigt, ist der Idsat bei einem gegebenen Pegel von Idoff für Transistoren gemäß der vorliegenden Erfindung relativ zu dem herkömmlichen Verfahren erhöht. Bei einem Idoff von 10nA zeigen die NMOS-Transistoren, die gemäß der vorliegenden Erfindung hergestellt wurden, zum Beispiel eine Verbesserung von etwa 5% hinsichtlich der aktivierten Dotierstoffe.
  • Wie in den 13A und 13B dargestellt, können Transistoren, die ein Ni-Silicid (bezeichnet mit O und ☐) beinhalten, durch Vermeiden der mit dem SBL-Prozess verknüpften Dotierstoffdeaktivierung mit einer elektrischen Leistungsfähigkeit, speziell Idsat, erzeugt werden, die im Wesentlichen verglichen mit entsprechenden Co-Silicid-Transistoren (eingezeichnet als Dreiecke) gleich oder verbessert sind. Die Verwendung einer exemplarischen Ausführungsform des Prozesses der vorliegenden Erfindung erlaubt durch Reaktivierung eines Teils des Dotierstoffs, der während des SBL-Prozesses "verloren geht", die Verwendung von Me tallen und Metalllegierungen mit niedrigeren Silicidierungstemperaturen, wie Nickel und Nickellegierungen, die bei Temperaturen unter etwa 700°C verarbeitet werden können.
  • Wenngleich die vorliegende Erfindung speziell unter Bezugnahme auf exemplarische Ausführungsformen derselben gezeigt und beschrieben wurde, versteht es sich für den Fachmann, dass verschiedene Änderungen hinsichtlich Form und Details darin durchgeführt werden können, ohne vom Inhalt und Umfang der Erfindung abzuweichen, wie sie in den beigefügten Ansprüchen und deren Äquivalenten definiert ist. So kann Silicid auch lediglich auf einer Source/Drain-Elektrode oder lediglich auf einer Gate-Elektrode gebildet werden. Und die vorliegende Erfindung kann angewendet werden, um eine Silicidierung der gesamten Gateelektrode durchzuführen.

Claims (19)

  1. Verfahren zur Herstellung eines Halbleiterbauelements, das folgende Schrittfolge umfasst: – Implantieren eines Dotierstoffes in ein Substrat zur Bildung eines Source-/Drainbereichs, – Bilden einer Silicidblockierschicht, – Tempern des Substrats zur Aktivierung eines Teils des Dotierstoffes, wobei die Temperung bei einer Tempertemperatur Ta durchgeführt wird, – Freilegen von Siliciumoberflächen auf dem Substrat, – Bilden von Silicidschichten auf den freiliegenden Siliciumoberflächen, wobei die Silicidschichten bei einer Silicidierungstemperatur Ts gebildet werden, mit Ts<Ta.
  2. Verfahren zur Herstellung eines Halbleiterbauelements, das folgende Schrittfolge umfasst: – Implantieren eines Dotierstoffs in ein Substrat zur Bildung eines Source-/Drainbereichs, – Tempern des Substrats zur Bildung eines aktivierten Dotierstoffs in dem Source-/Drainbereich, – Bilden einer Silicidblockierschicht, wodurch ein Teil des aktivierten Doterstoffs deaktiviert wird, – Tempern des Substrats zur Reaktivierung eines Teils des deaktivierten Dotierstoffs, wobei die Temperung bei einer Tempertemperatur Tpa durchgeführt wird, – Freilegen von Siliciumoberflächen auf dem Substrat und – Bilden von Silicidschichten auf den freiliegenden Siliciumoberflächen, wobei die Silicidschichten bei einer Silicidierungstemperatur Ts gebildet werden, mit Ts<Tpa.
  3. Verfahren zur Herstellung eines Halbleiterbauelements nach Anspruch 1 oder 2, wobei: – das Bilden der Silicidschichten das Aufbringen einer Nickellegierungsschicht auf den freiliegenden Siliciumoberflächen beinhaltet, wobei die Nickellegierung Nickel und ein legierendes Metall beinhaltet, und – Reagieren der Nickellegierungsschicht mit der freigelegten Siliciumoberfläche, um eine Nickelsilicidschicht mit einer oberen Schicht und einer unteren Schicht zu bilden, wobei das legierende Metall vorzugsweise in die obere Schicht segregiert.
  4. Verfahren zur Herstellung eines Halbleiterbauelements nach Anspruch 3, wobei – das Nickel und das Silicium in der unteren Schicht in einem atomaren Verhältnis von etwa 1 vorliegen.
  5. Verfahren zur Herstellung eines Halbleiterbauelements nach Anspruch 3 oder 4, das des Weiteren umfasst: – Bilden einer Deckschicht auf der Nickellegierungsschicht vor dem Reagieren der Nickellegierung mit dem freiliegenden Silicium.
  6. Verfahren zur Herstellung eines Halbleiterbauelements nach einem der Ansprüche 3 bis 5, wobei – das legierende Metall wenigstens ein Metall ist, das aus einer Gruppe ausgewählt ist, die aus Tantal, Vanadium, Zirkonium, Hafnium, Wolfram, Kobalt, Platin, Chrom, Palladium, Niob und Kombinationen derselben besteht, und nicht mehr als etwa 20 Atomprozent der Nickellegierung bildet.
  7. Verfahren zur Herstellung eines Halbleiterbauelements nach Anspruch 6, wobei – das legierende Metall Tantal ist und in einer Konzentration von etwa 0,1 Atomprozent bis etwa 10 Atomprozent der Nickellegierung vorliegt.
  8. Verfahren zur Herstellung eines Halbleiterbauelements, das folgende Schrittfolge umfasst: – Bilden eines aktiven Bereichs in einem Halbleitersubstrat, – Bilden einer Gateelektrodenstruktur in dem aktiven Bereich, – Implantieren eines ersten Dotierstoffes in den aktiven Bereich benachbart zu der Gateelektrodenstruktur, – Bilden von Abstandshaltern benachbart zu der Gateelektrodenstruktur, – Implantieren eines zweiten Dotierstoffes in den aktiven Bereich benachbart zu den Abstandshaltern, – Bilden einer Silicidblockierschicht, – Tempern des Halbleitersubstrats bei einer Temperatur Ta, um den ersten und den zweiten Dotierstoff zu aktivieren, – Freilegen einer Siliciumoberfläche und – Bilden einer Silicidschicht auf der Siliciumoberfläche bei einer Silicidierungstemperatur Ts, mit Ts<Ta.
  9. Verfahren zur Herstellung eines Halbleiterbauelements gemäß Anspruch 8, wobei – das Bilden der Silicidschichten das Aufbringen einer Metallschicht auf den freiliegenden Siliciumoberflächen beinhaltet, wobei die Metallschicht in der Lage ist, bei einer Silicidierungstemperatur Ts von weniger als 700°C ein Silicid zu bilden.
  10. Verfahren zur Herstellung eines Halbleiterbauelements nach Anspruch 8 oder 9, das des Weiteren umfasst: – Aktivieren des ersten und des zweiten Dotierstoffs vor der Bildung der Silicidblockierschicht, um aktivierte Dotierstoffe zu er zeugen, wobei die Bildung der Silicidblockierschicht dazu tendiert, einen Teil der aktivierten Dotierstoffe zu deaktivieren.
  11. Verfahren zur Herstellung eines Halbleiterbauelements nach einem der Ansprüche 8 bis 10, wobei: – die Silicidblockierschicht bei einer Temperatur Tbl gebildet wird, die unter etwa 830°C liegt.
  12. Verfahren zur Herstellung eines Halbleiterbauelements nach Anspruch 11, wobei: – die Silicidblockierschicht bei einer Temperatur Tbl gebildet wird, die zwischen etwa 535°C und etwa 825°C liegt.
  13. Verfahren zur Herstellung eines Halbleiterbauelements nach einem der Ansprüche 8 bis 12, das des Weiteren umfasst: – Bilden einer Deckschicht auf der Metallschicht vor dem Reagieren der Metallschicht mit dem freiliegenden Silicium.
  14. Verfahren zur Herstellung eines Halbleiterbauelements nach einem der Ansprüche 5 bis 7 und 13, wobei: – die Deckschicht einen Hauptteil an Titannitrid beinhaltet.
  15. Verfahren zur Herstellung eines Halbleiterbauelements nach einem der Ansprüche 8 bis 14, wobei: – die Metallschicht aus Nickel legiert mit einem oder mehreren Minoritätsmetallen besteht, wobei die Minoritätsmetalle aus einer Gruppe ausgewählt sind, die aus Tantal, Vanadium, Zirkonium, Hafnium, Wolfram, Kobalt, Platin, Chrom, Palladium, Niob und Kombinationen derselben besteht, wobei die Minoritätsmetalle nicht mehr als etwa 20 Atomprozent der Metallschicht bilden.
  16. Verfahren zur Herstellung eines Halbleiterbauelements nach Anspruch 15, wobei: – das Minoritätsmetall Tantal ist und in einer Konzentration zwischen etwa 0,1 Atomprozent und etwa 10 Atomprozent der Metallschicht vorliegt.
  17. Verfahren zur Herstellung eines Halbleiterbauelements nach einem der Ansprüche 1 bis 16, wobei Ts<550°C und/oder Ta>750°C ist.
  18. Verfahren zur Herstellung eines Halbleiterbauelements nach Anspruch 17, wobei Ts zwischen etwa 400°C und etwa 530°C liegt und/oder Ta zwischen etwa 830°C und etwa 1150°C liegt.
  19. Verfahren zur Herstellung eines Halbleiterbauelements nach Anspruch 14, wobei: – die Deckschicht ein atomares Verhältnis von Stickstoff zu Titan von wenigstens etwa 0,5 aufweist.
DE102004019199A 2003-04-16 2004-04-16 Verfahren zur Herstellung eines Halbleiterbauelements Expired - Lifetime DE102004019199B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2003-0024126A KR100487655B1 (ko) 2003-04-16 2003-04-16 니켈 샐리사이드 공정 및 이를 사용하여 모스트랜지스터를 제조하는 방법
KR10-2003-24126 2003-04-16
US10/812,003 US7232756B2 (en) 2003-04-16 2004-03-30 Nickel salicide process with reduced dopant deactivation
US10/812,003 2004-03-30

Publications (2)

Publication Number Publication Date
DE102004019199A1 true DE102004019199A1 (de) 2004-12-30
DE102004019199B4 DE102004019199B4 (de) 2012-02-16

Family

ID=33492529

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004019199A Expired - Lifetime DE102004019199B4 (de) 2003-04-16 2004-04-16 Verfahren zur Herstellung eines Halbleiterbauelements

Country Status (2)

Country Link
US (1) US7232756B2 (de)
DE (1) DE102004019199B4 (de)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7335606B2 (en) * 2004-03-15 2008-02-26 Agency For Science, Technology And Research Silicide formed from ternary metal alloy films
KR100553714B1 (ko) * 2004-07-14 2006-02-24 삼성전자주식회사 자기정렬 실리사이드층을 가지는 반도체 소자 및 그제조방법
KR100602122B1 (ko) * 2004-12-03 2006-07-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7399702B2 (en) * 2005-02-01 2008-07-15 Infineon Technologies Ag Methods of forming silicide
JP4630728B2 (ja) * 2005-05-26 2011-02-09 株式会社東芝 半導体装置及びその製造方法
US7595264B2 (en) * 2005-06-15 2009-09-29 United Microelectronics Corp. Fabrication method of semiconductor device
US7344978B2 (en) * 2005-06-15 2008-03-18 United Microelectronics Corp. Fabrication method of semiconductor device
US7419907B2 (en) * 2005-07-01 2008-09-02 International Business Machines Corporation Eliminating metal-rich silicides using an amorphous Ni alloy silicide structure
US7560379B2 (en) * 2006-02-07 2009-07-14 Texas Instruments Incorporated Semiconductive device fabricated using a raised layer to silicide the gate
US7585790B2 (en) * 2006-07-20 2009-09-08 United Microelectronics Corp. Method for forming semiconductor device
US20080280439A1 (en) * 2007-05-08 2008-11-13 Atmel Corporation Optimal concentration of platinum in a nickel film to form and stabilize nickel monosilicide in a microelectronic device
US7785949B2 (en) * 2007-06-06 2010-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device using multi-functional sacrificial dielectric layer
KR101051684B1 (ko) * 2008-12-02 2011-07-25 매그나칩 반도체 유한회사 정전기 방전 보호소자 및 그 제조방법
US8187971B2 (en) 2009-11-16 2012-05-29 Tel Epion Inc. Method to alter silicide properties using GCIB treatment
US8951853B1 (en) * 2010-03-10 2015-02-10 Samsung Electronics Co., Ltd. Method of forming semiconductor device using Si-H rich silicon nitride layer
CN103137672A (zh) * 2011-11-25 2013-06-05 上海华虹Nec电子有限公司 兼容自对准孔和表面沟道的金-氧-半场效应管的栅极膜结构及其制造方法
US9728637B2 (en) * 2013-11-14 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanism for forming semiconductor device with gate
US20160190318A1 (en) * 2014-12-30 2016-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP2021507520A (ja) 2017-12-17 2021-02-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 選択的堆積によるケイ素化合物膜
US20190207010A1 (en) * 2017-12-30 2019-07-04 Texas Instruments Incorporated Silicide block integration for cmos technology

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5891784A (en) 1993-11-05 1999-04-06 Lucent Technologies, Inc. Transistor fabrication method
JP3199114B2 (ja) 1998-11-06 2001-08-13 日本電気株式会社 半導体装置の製造方法
KR100271948B1 (ko) * 1998-12-01 2000-11-15 윤종용 반도체 장치의 셀프-얼라인 실리사이드 형성방법
US6458702B1 (en) * 2000-03-09 2002-10-01 Tower Semiconductor Ltd. Methods for making semiconductor chip having both self aligned silicide regions and non-self aligned silicide regions
US6339018B1 (en) * 2000-03-09 2002-01-15 International Business Machines Corporation Silicide block bounded device
GB2390224B (en) 2000-12-06 2004-12-08 Advanced Micro Devices Inc Method of forming nickel silicide using a one-step rapid thermal anneal process and backend processing
US6605513B2 (en) 2000-12-06 2003-08-12 Advanced Micro Devices, Inc. Method of forming nickel silicide using a one-step rapid thermal anneal process and backend processing
US6518631B1 (en) * 2001-04-02 2003-02-11 Advanced Micro Devices, Inc. Multi-Thickness silicide device formed by succesive spacers
US6905560B2 (en) * 2002-12-31 2005-06-14 International Business Machines Corporation Retarding agglomeration of Ni monosilicide using Ni alloys

Also Published As

Publication number Publication date
DE102004019199B4 (de) 2012-02-16
US20040209432A1 (en) 2004-10-21
US7232756B2 (en) 2007-06-19

Similar Documents

Publication Publication Date Title
DE102004019199B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE19950708C2 (de) Verfahren zum Bilden von selbstjustierenden Cobaltsilicid-Schichten mit lokal unterschiedlicher Schichtdicke
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE112007001436B4 (de) CMOS-Schaltungen mit geringem Kontaktwiderstand
DE10323013B4 (de) Verfahren zur Herstellung eines Halbleiterbauelementes mit PMOS- und NMOS-Transistor
DE102004056022A1 (de) Verfahren zur Bildung eines Nickelsalicids und Verfahren zur Herstellung eines Halbleiterbauelements unter Verwendung desselben
DE102009021486B4 (de) Verfahren zur Feldeffekttransistor-Herstellung
DE3886074T2 (de) Herstellung einer Halbleiterstruktur.
DE60304225T2 (de) NIickelsilizid mit verminderter Grenzflächenrauhigkeit
EP0463332B1 (de) Verfahren zur Herstellung einer dotiertes Polysilizium und Metallsilizid enthaltenden Mehrschichtgateelektrode für einen MOS-Transistor
EP1492162A2 (de) Methode zur Herstellung einer Nickelsilizidschicht
DE4406861B4 (de) Ohmsche Kontaktstruktur für eine hochintegrierte Halbleitervorrichtung und Herstellungsverfahren
DE112010004400B4 (de) Bildung von Nickelsilicid mit Gestaffelter PT-Zusammensetzung
EP1152459A2 (de) Verfahren zum Herstellen einer Barriereschicht in einem elektronischen Bauelement
DE3211761A1 (de) Verfahren zum herstellen von integrierten mos-feldeffekttransistorschaltungen in siliziumgate-technologie mit silizid beschichteten diffusionsgebieten als niederohmige leiterbahnen
DE102010064288B4 (de) Halbleiterbauelement mit Kontaktelementen mit silizidierten Seitenwandgebieten
DE112012002543T5 (de) Niedrige Schwellenspannung und Skalierung der Inversionsoxiddicke für einen Mosfet vom P-Typ mit High-K-Metall-Gate
DE4010618A1 (de) Halbleitervorrichtung und verfahren zu ihrer herstellung
DE10234931A1 (de) Verfahren zur Herstellung eines Metallsilizidgates in einer standardmässigen MOS-Prozesssequenz
EP0163871A1 (de) Verfahren zum Herstellen von aus Doppelschichten aus hochschmelzenden Metallsiliziden und dotiertem polykristallinem Silizium bestehenden Gate-Elektroden
DE19611959A1 (de) Komplementär-MOS-Feldeffekttransistor und Herstellungsverfahren für denselben
DE102009020348B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE69028450T2 (de) Verfahren zur Herstellung von polykristallinen Siliziumkontakten
DE112021003504T5 (de) Gate-implantation für eine reduzierte variabilität eineswiderstandstemperaturkoeffizienten
DE69836117T2 (de) Stabilisierung von Titanpolyzid mittels einer porösen Sperrschicht

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20120517

R071 Expiry of right