CN200996046Y - 用于处理平板显示器衬底的化学气相沉积系统 - Google Patents

用于处理平板显示器衬底的化学气相沉积系统 Download PDF

Info

Publication number
CN200996046Y
CN200996046Y CNU2006201176242U CN200620117624U CN200996046Y CN 200996046 Y CN200996046 Y CN 200996046Y CN U2006201176242 U CNU2006201176242 U CN U2006201176242U CN 200620117624 U CN200620117624 U CN 200620117624U CN 200996046 Y CN200996046 Y CN 200996046Y
Authority
CN
China
Prior art keywords
chamber
remote plasma
plasma source
cvd
vapor deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNU2006201176242U
Other languages
English (en)
Inventor
崔寿永
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of CN200996046Y publication Critical patent/CN200996046Y/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J9/00Apparatus or processes specially adapted for the manufacture, installation, removal, maintenance of electric discharge tubes, discharge lamps, or parts thereof; Recovery of material from discharge tubes or lamps
    • H01J9/20Manufacture of screens on or from which an image or pattern is formed, picked up, converted or stored; Applying coatings to the vessel

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本实用新型提供一种用于清洗化学气相沉积室的装置。该化学气相沉积室包括将来自远程等离子体源的反应性物种绕过室的气体分配组件引入室中的入口和将来自远程等离子体源的反应性物种经由气体分配组件引入室中的入口。

Description

用于处理平板显示器衬底的化学气相沉积系统
技术领域
本实用新型实施例一般而言涉及清洗化学气相沉积室的方法。具体而言,本实用新型实施例涉及清洗用于处理大面积衬底的化学气相沉积室的方法。
背景技术
在集成电路和半导体器件制造期间,化学气相沉积(CVD)是沉积材料以在衬底上形成层的普遍使用的方法。化学气相沉积通常通过将气体输送至支撑在化学气相沉积室中的衬底支撑上的衬底而进行。该气体通过室中的气体分配组件输送至衬底。
在化学气相沉积期间,沉积材料还在室的部件(诸如气体分配组件)和室的内侧壁上形成。这些沉积材料在随后的处理期间会剥落,并且产生能够损害或毁坏室内衬底的部件的污染颗粒。因而,需要进行周期性的室清洗。
目前,室清洗的一种方法是使用远程等离子体源。远程等离子体源在室的外部将清洗气体解离成自由基或反应性物种。然后反应性物种流入室中以清洗室。通过远程产生反应性物种,室的内部没有暴露于解离该清洗气体所需的潜在损害的高电平功率。
已经观察到,使用远程等离子体源进行室清洗经常没有基于由远程等离子体源提供的预计解离率所期望的效率。由远程等离子体源产生的反应性物种能重新结合形成具有比该自由基低的清洗效率的分子。例如,清洗气体NF3可产生氟自由基,氟自由基会重新结合形成F2
重新结合的程度能够通过比较在接收来自远程等离子体源(其中接通等离子体电源)气体的化学气相沉积室中所测压力和在接收来自远程等离子体源(其中关闭等离子体电源)气体的化学气相沉积室中所测压力而进行估计。当接通远程等离子体电源时,由于等离子体将一个分子分解成多个增加该室压力的反应性物种,所以在室内压力应当更高。例如,由于NF3解离成1个氮原子和3个氟原子,接收来自接通等离子体电源以解离NF3的远程等离子体源的气体的室的压力应当是接收来自远程等离子体源的未被解离的NF3的室的压力的四倍。然而,使用目前的远程等离子体源和化学气相沉积室,接收来自接通等离子体电源以解离NF3的远程等离子体源的气体的室的压力只有约为接收来自关闭等离子体电源的远程等离子体源的未被解离的NF3的室的压力的2倍。因而,由于接收来自接通等离子体电源以解离NF3的远程等离子体源的气体的室的压力约为所预期压力50%,显而易见,由于反应性物种的重新结合,反应性物种的近50%在室中损失了。
重新结合的一个原因是由化学气相沉积室的气体分配组件所提供的受限制的流动面积。气体分配组件通常包含许多非常小直径的孔,来自远程的等离子体源的反应性物种为了进入室的处理区,必须穿过该孔。在这样小的面积中,反应性物种比在更大的面积中更可能地碰撞并且重新结合。
重新结合所造成的低的室清洗效率增加清洗室所需的时间量,这减少了室的衬底的产量,并且增加了清洗室所需的清洗气体的成本。充分地清洗室的各部分(诸如室的边缘和角落)所需的额外的清洗时间,可能导致过度蚀刻对室的其他部分的损害。因而,仍存在对使用远程等离子体源更有效地清洗化学气相沉积室的方法和装置的需求。具体而言,仍存在对更有效地清洗用于处理大面积衬底(例如,1000mm×1000mm或更大的衬底,诸如平板显示器衬底)的化学气相沉积室的方法和装置的需求。
实用新型内容
本实用新型一般而言提供清洗诸如用于处理大面积衬底(诸如平板显示器衬底)的化学气相沉积室的化学气相沉积室的装置。在一个实施例中,用于处理平板显示器衬底的化学气相沉积系统包括化学气相沉积室。该化学气相沉积室包括室体、衬底支撑和气体分配组件,其中室体限定构造成将来自远程等离子体源的反应性物种经由气体分配组件提供至化学气相沉积室的处理区中的第一入口,并且该室体限定构造成将来自相同或不同的远程等离子体源的反应性物种绕过气体分配组件提供至化学气相沉积室的处理区中的一个或多个的入口。
在另一个实施例中,用于处理平板显示器衬底的化学气相沉积系统包括第一远程等离子体源和连接至该远程等离子体源的化学气相沉积室。化学气相沉积室包括室体、衬底支撑和气体分配组件,其中室体限定构造成将来自第一远程等离子体源的反应性物种经由气体分配组件提供至化学气相沉积室的处理区中的第一入口,并且该室体限定构造成将来自相同或不同的远程等离子体源的反应性物种绕过气体分配组件提供至化学气相沉积室的处理区中的第二入口。
在另一个实施例中,用于处理平板显示器衬底的化学气相沉积系统包括第一远程等离子体源;第二远程等离子体源;连接至第一远程等离子体源和第二远程等离子体源的第一化学气相沉积室。第一化学气相沉积室包括第一室体、第一衬底支撑和第一气体分配组件,其中第一室体限定构造成将来自第一远程等离子体源的反应性物种经由第一气体分配组件提供至第一化学气相沉积室的处理区中的第一入口,并且该第一室体限定构造成将来自第二远程等离子体源的反应性物种绕过第一气体分配组件提供至第一化学气相沉积室的处理区中的第二入口。化学气相沉积系统进一步包括连接至第一远程等离子体源和第二远程等离子体源的第二化学气相沉积室。第二化学气相沉积室包括第二室体、第二衬底支撑和第二气体分配组件,其中第二室体限定构造成将来自第一远程等离子体源的反应性物种经由第二气体分配组件提供至第二化学气相沉积室的处理区中的第一入口,并且该第二室体限定构造成将来自第二远程等离子体源的反应性物种绕过第二气体分配组件提供至第二化学气相沉积室的处理区中的第二入口。
尽管反应性物种可以经由气体分配组件引入室的处理区中,并且同时绕过气体分配组件将反应性物种引入室的处理区中,但是可将反应性物种通过室中不同的入口按顺序地进行引入。可以相信,绕过气体分配组件向室提供大部分的反应性物种通过降低反应性物种重新结合的量而增加室清洗效率,该反应性物种的重新结合是由于使反应性物种流经气体分配组件的小直径(例如16mils)穿孔而引起的。
附图说明
以本实用新型的上述特征能够详细地了解的方式,通过引用实施例对以上简要概述的本实用新型进行更具体的描述,其中一些实施例在所附的附图中示出。然而,应当注意所附的附图仅仅示出本实用新型典型的实施例,因而不能认为对本实用新型范围的限制,因为本实用新型可以容许其它同等效果的实施例。
图1是根据本实用新型一个实施例的等离子体增强化学气相沉积系统的示意剖面图;
图2是根据本实用新型另一个实施例的等离子体增强化学气相沉积系统的示意剖面图;
图3是根据本实用新型另一个实施例的等离子体增强化学气相沉积系统的示意剖面图;
图4是根据本实用新型另一个实施例的等离子体增强化学气相沉积系统的示意剖面框图。
具体实施方式
本实用新型的实施例提供一种包括化学气相沉积室的化学气相沉积系统,该化学气相沉积室包括第一入口和第二入口,其中第一入口用于将来自远程等离子体源的反应性物种经由室的气体分配组件提供至室的处理区中,而第二入口用于将来自远程等离子体源的反应性物种在不使该反应性物种流经该气体分配组件(即,绕过该气体分配组件)的情况下提供至室的处理区中。
图1是根据本实用新型的一个实施例的等离子体增强化学气相沉积系统200的示意剖面图。等离子体增强化学沉积系统200类似于可购自应用材料有限公司(Santa Clara,California)的一个部门AKT的等离子体增强化学气相沉积系统4300。可根据本实用新型实施例改进的其他系统包括也可购自应用材料有限公司(Santa Clara,California)的一个部门AKT的3500、5500、10K、15K、20K、25K和40K室。系统200一般而言包括耦合至前驱体(precursor)供应单元52的化学气相沉积室203。化学气相沉积室203具有侧壁206、底部208和将处理空间或区域212限定在室内部的盖组件210。通常通过便于衬底240移进和移出化学气相沉积室203的侧壁206端口(未示出)而访问处理区212。侧壁206和底部208通常由铝、不锈钢或其他与处理相容的材料制造。侧壁206支撑盖组件210,盖组件包含将处理区212耦合到排气系统(其包括各种泵浦部件,未示出)的泵浦增压室(pumping plenum)214。侧壁206、底部208和盖组件210限定室体202。
气体入口管道或管42延伸入室体202的中央盖区域中的进入端口或入口280,并且连接至各种气源。前驱体供应单元52包含在沉积期间使用的前驱体。前驱体可以是气体或液体。所使用的特定前驱体取决于待沉积在衬底上的材料。处理气体通过入口管42流至入口280中,并且接着流入室203中。电控阀和流量控制机构54控制从气体供应单元至入口280的气体流量。
第二气体供应系统也通过入口管42连接至该室。在室中已经进行一个或多个化学气相沉积处理之后,第二气体供应系统供应用来清洗室内部(例如,移除沉积材料)的气体。在一些情形下,第一和第二气体供应系统可以混合。
第二气体供应系统包括诸如三氟化氮或六氟化硫的清洗气体(或液体)源64、位于外部并且距化学气相沉积室一定距离的远程等离子体源66、电控阀和流量控制机构70以及将远程等离子体源连接至化学气相沉积室203的管道或管77。这样一种构造允许使用远程等离子体源清洗室的内表面。
第二气体供应系统还包括一种或多种诸如氧气或运载气体的附加气体(或液体)的一个或多个的源72。附加气体通过另一个阀和流量控制机构73连接至远程等离子体源66。运载气体辅助在远程等离子体源中产生的反应性物种运输至沉积室,并且运载气体可以是与该气体所应用的特定清洗处理相兼容的任何非反应性气体。例如,运载气体可以是氩气、氮气或氦气。运载气体还可以辅助清洗处理或有助于初始化和/或稳定化学气相沉积室中的等离子体
可选地,流量限制器76提供在管77中。流量限制器76能置于在远程等离子体源66和沉积室203之间的路径中的任何位置。流量限制器76允许在远程等离子体源66和沉积室203之间提供压力差。由于气体和等离子体的混合物流出远程等离子体源66并且流进沉积室203,流量限流器76还可以充当该混合物的混合器。
阀和流量控制机构70以用户选定的流速将来自源64的气体输送至远程等离子体源66中。远程等离子体源66可以是诸如感应耦合远程等离子体源的RF等离子体源。远程等离子体源66激活来自源64的气体或液体以形成反应性物种,接着该反应性物种流经管道77和入口管42,通过入口280流入沉积室中。入口280因而被用来将反应性物种输送至化学气相沉积室203的包括处理区212的内部区域。
盖组件210为处理区212提供上边界。盖组件210包括其中限定入口280的中央盖区域205。盖组件210通常能被移开或打开以对化学气相沉积室203进行维护。在一个实施例中,盖组件210由铝(Al)制造。盖组件210包括在其中形成的并且耦合至外部泵浦系统(未示出)的泵浦增压室214。泵浦增压室214用来引导均匀来自处理区212和流出化学气相沉积室203的气体和处理副产物。
气体分配组件218耦合至盖组件210的内侧220。气体分配组件218包括在气体分配板258中的穿孔区216,包括由远程等离子体源产生的反应性物种和用于化学气相沉积的处理气体的气体通过该穿孔区216输送至处理区212。气体分配板258的穿孔区216构造成提供穿过气体分配组件218至处理区212的均匀分布的气体。可适于从本实用新型受益的气体分配板在以下共同转让的专利中描述:由Keller等人于2001年8月3日申请、现在公告为美国专利No.6,772,827的美国专利申请序列号No.09/922,219;由Yim等人于2002年5月6日申请的美国专利申请序列号No.10/140,324;由Blonigan等人于2003年1月7日申请的美国专利申请序列号No.10/337,483;于2002年11月12日公告给White等人的美国专利No.6,477,980;由Choi等人于2003年4月16日申请的美国专利申请案序列号No.10/417,592,这些内容通过引用而全部统结合于本文中。
气体分配板258通常由不锈钢、铝(Al)、阳极化铝、镍(Ni)或另外的RF导电材料制造。气体分配板258构造成具有维持足够的平面度和均匀度的厚度,使得不会对衬底处理产生不良影响。在一个实施例中,气体分配板258具有约1.0英寸至约2.0英寸之间的厚度。
除了入口280,室体202包括提供来自远程等离子体源的反应性物种的第二入口282。远程等离子体源可以是与如图1所示的通过入口280经由气体分配组件218向处理区提供反应性物种远程等离子体源66相同,或者参照图3所示和以下描述的不同的远程等离子体源。第二入口282构造成将来自远程等离子体源的反应性物种绕过气体分配组件218提供至室203的处理区212中。换句话说,由第二入口282提供的反应性物种不穿过气体分配组件218的穿孔的气体分配板258。第二入口可以位于气体分配组件218下方的室体202的侧壁206中,诸如在气体分配板258和衬底支撑224之间。从远程等离子体源至第二入口282的气体管线284通过第二入口282将来自远程等离子体源的反应性物种输送至室203的处理区212中。
通常,在来自远程等离子体源的气体管线77中提供分流器79。分流器79允许来自远程等离子体源66的反应性物种的第一部分经由分流器79和室203之间的管线42导向室203的第一入口280,而来自远程等离子体源的反应性物种的第二部分经由分流器79和室203之间的管线284导向室的第二入口282。
温度控制衬底支撑组件238布置在室203的中央。在处理期间,支撑组件238支撑衬底240。在一个实施例中,衬底支撑组件238包括衬底支撑224,该支撑224具有封装至少一个嵌入式加热器232的铝主体。布置在支撑组件238中的诸如电阻元件的加热器232耦合至可选的电源274,并且可控制地将支撑组件238和位于其上的衬底240加热至预定的温度。
一般而言,支撑组件238具有包括下侧面226和上侧面234的衬底支撑224。上侧面234支撑衬底240。下侧面226具有与其耦合的杆242(stem)。杆242将支撑组件238耦合至提升系统(未示出)。该提升系统在升高的处理位置(未示出)和便于将衬底转移进出化学气相沉积室203降低的位置之间移动支撑组件238。杆242另外为支撑组件238和系统200的其他部件之间的电导线和热电偶导线提供管道。
在支撑组件238(或杆242)和化学气相沉积室203的底部208之间耦合波纹管246。波纹管246在处理区212和化学气相沉积室203的外部的大气之间提供真空密封,同时便于该支撑组件238垂直移动。
支撑组件238一般接地,使得由电源222向位于盖组件210和衬底支撑组件238之间的气体分配组件218(或位于室的盖组件内或附近的其他电极)提供的RF功率可以激活在支撑组件238和气体分配组件218之间的处理区212中存在的气体。支撑组件238另外还支撑(circumscribing)外接屏蔽框架248。一般而言,屏蔽框架248阻止在衬底240和支撑组件238的边缘上的沉积,使得衬底不会粘着在支撑组件238上。支撑组件238具有贯通其布置的多个孔228,这些孔用于容纳多个升降销(lift pin)250。
图2是根据本实用新型的另一个实施例的等离子体增强化学气相沉积系统201的示意剖面图。如图2所示,系统201类似于图1所示的系统200(在图1和图2中相同的部件用相同的标号标记)。然而,系统201包括构造成绕过气体分配组件218提供来自远程等离子体源的反应性物种的两个入口286、288,而图1的系统200包括构造成绕过气体分配组件218提供来自远程等离子体源的反应性物种的一个入口282。从远程等离子体源至入口288的气体管线283将来自远程等离子体源的反应性物种通过入口288输送至室203的处理区。从远程等离子体源至入口286的气体管线285将来自远程等离子体源的反应性物种通过入口286输送至室203的处理区。可选地,系统201还包括第二流量限制器75,使得在远程等离子体源66和第一入口280之间的有一个可选的流量限制器76,并且在远程等离子体源66和入口286、288之间有另一个可选的流量限制器75。在流量节流器75和入口286、288之间的分流器78控制从远程等离子体源66至入口286、288的反应性物种的流量,使得一部分反应性物种可以经由入口286提供到处理区212,而一部分反应性物种可以经由入口288提供到向处理区。入口286、288可以位于室的相对侧的室体202的侧壁206中。可以相信,提供两个间隔开的入口286、288增强了反应性物种沿室的均匀分布的形成。
图3根据本实用新型另一个实施例的等离子体增强化学气相沉积系统209的示意剖面图。如图3所示,系统209类似于图1所示的系统200(在图1和图3中相同的部件用相同的标号标记)。然而,系统209包括两个远程等离子体源。如图3示意性示出,第一远程等离子体组件260包括远程等离子体源66和诸如流量控制机构70、73,气源64、72和可选的流量限制器76的关联部件,并且第一远程等离子体源组件260经由气体管线42连接至室203,包括远程等离子体源的第二远程等离子体组件260经由气体管线43连接至室。来自气体管线42的反应性物种经由入口280引入室中,并且来自气体管线43的反应性物种经由入口282引入室中。由于反应性物种从不同的远程等离子体源引入入口280和282,所以不需要分流器来调节一个远程等离子体源和两个入口之间的流量。
图4是根据本实用新型另一个实施例的等离子体增强化学气相沉积系统400的示意剖面框图。系统400包括第一化学气相沉积室402、第二化学气相沉积室404、第一远程等离子体源406和第二远程等离子体源408。化学气相沉积室402、第二化学气相沉积室404、第一远程等离子体源406和第二远程等离子体源408在图4中简要地概述,并且可以包括以上参照图1-图3所描述的化学气相沉积室和远程等离子体源的一些或所有部件。远程等离子体源406分别向室402、404的盖区域414、416中的入口410、412提供反应性物种。反应性物种通过气体分配组件424、426进入室402、404的处理区420、422中。远程等离子体源408分别向室402、404的侧壁434、436中的入口430、432提供反应性物种。因而,来自远程等离子体源408的反应性物种绕过气体分配组件424、426。
图4所示的等离子体增强化学气相沉积系统减少清洗若干室所需的远程等离子体源的个数。例如,尽管图3所示的系统中每一个化学气相沉积室包括两个远程等离子体源,图4所示的系统提供用两个远程等离子体源清洗两个化学气相沉积室的方法。可以在图4所示的系统的一个室中进行沉积处理,同时用两个远程等离子体源清洗另一个室。在第一室中完成沉积处理之后,接着可以用两个远程等离子体源来清洗第一室,并且同时可在另一个室中进行沉积处理。
尽管在图4示出的实施例中,第一远程等离子体源通过室的气体分配组件向两个室的处理区提供反应性物种,第二远程等离子体源绕过气体分配组件向两个室的处理区提供反应性物种,在其他的实施例中,可以一起使用其他数量的远程等离子体源和室。例如,第一远程等离子体源可以耦合至三个或更多室的第一入口,并且第二远程等离子体源可以耦合至三个或更多室的第二入口。
由于根据本实用新型实施例提供的等离子体增强化学气相沉积系统包括绕过化学气相沉积室的气体分配组件将反应性物种引入化学气相沉积室的处理区中的入口,本实用新型的实施例提供清洗等离子体增强化学气相沉积系统的方法,该方法包括将来自远程等离子体源的反应性物种绕过化学气相沉积室的气体分配组件引入化学气相沉积室的处理区中。来自相同或不同的远程等离子体源的反应性物种可以通过单独的入口引入室中,该入口构造成经由气体分配组件将反应性物种提供至室的处理区。
反应性物种可以由诸如含卤气体(例如诸如NF3、F2、CF4、SF6、C2F6、CCl14、C2Cl6或其结合物的含氟气体)的传统清洗气体通过使用标准的远程等离子体源条件而形成。由化学气相沉积室提供的诸如内部RF功率的原位功率还可以用来在室清洗处理期间通过附加分解诸如F2物种的物种而提高清洗速率。
通过经由气体分配组件提供至少一些反应性物种,气体分配组件被反应性物种清洗或至少部分地清洗。优选地,引入室的处理区的大部分反应性物种绕过气体分配组件而引入。例如,反应性物种可以以第一流速通过第一入口和气体分配组件引入室的处理区中,并且反应性物种可以以比第一流速高约1至约10倍之间的第二流速通过绕过气体分配组件的第二入口引入室处理区中。例如,对于改进的AKT 25K PECVD室,第一流速可以约为2slm,并且第二流速可约为10slm。
尽管反应性物种可以经由气体分配组件引入室的处理区中,并且同时绕过气体分配组件将反应性物种引入室的处理区中,但是可将反应性物种通过室中不同的入口按顺序地进行引入。例如,在诸如充分地清洗气体分配组件的穿孔的时间段的第一时间段,反应性物种通过第一入口和气体分配组件引入室的处理区中。然后在第二时间段,可以停止反应性物种流经第一入口,并且反应性物种可以通过绕过气体分配组件的第二入口引入室的处理区中以清洗室的其他部件。
可以相信,绕过气体分配组件向室提供大部分的反应性物种通过降低反应性物种重新结合的量而增加室清洗效率,该反应性物种的重新结合是由于使反应性物种流经气体分配组件的小直径(例如16mils)穿孔而引起的。
尽管前述涉及本实用新型的实施例,本实用新型的其他和进一步的实施例可以在不脱离其基本范围的情况下进行设计。本实用新型的范围由下列的权利要求确定。

Claims (15)

1.一种用于处理平板显示器衬底的化学气相沉积系统,其特征在于包括:
化学气相沉积室,包括:
室体;
衬底支撑;和
气体分配组件;
其中所述室体限定构造成将来自远程等离子体源的反应性物种经由所述气体分配组件提供至所述化学气相沉积室的处理区中的第一入口,并且所述室体限定构造成将来自相同或不同远程等离子体源的反应性物种绕过所述气体分配组件提供至所述化学气相沉积室的所述处理区中一个或多个第二入口。
2.如权利要求1所述的化学气相沉积系统,其特征在于,所述第二入口在所述气体分配组件和所述衬底支撑之间的所述室体的侧壁中。
3.如权利要求1所述的化学气相沉积系统,其特征在于,所述第一入口在所述室体的盖的区域中。
4.如权利要求3所述的化学气相沉积系统,其特征在于,所述第二二入口在所述气体分配组件下方的所述室体的侧壁中。
5.如权利要求1所述的化学气相沉积系统,其特征在于,所述室体限定构造成将来自相同或不同的远程等离子体源的反应性物种绕过所述气体分配组件提供至所述化学气相沉积室的所述处理区中的一个以上入口。
6.如权利要求1所述的化学气相沉积系统,其特征在于,所述室体限定构造成将来自相同或不同的远程等离子体源的反应性物种绕过所述气体分配组件提供至所述化学气相沉积室的所述处理区中的两个入口,并且所述两个入口位于所述化学气相沉积室的相对侧上。
7.一种用于处理平板显示器衬底的化学气相沉积系统,其特征在于包括:
第一远程等离子体源;和
连接至所述远程等离子体源的化学气相沉积室,所述化学气相沉积室包括:
室体;
衬底支撑;和
气体分配组件;
其中所述室体限定构造成将来自所述第一远程等离子体源的反应性物种经由所述气体分配组件提供至所述化学气相沉积室的处理区中的第一入口,并且所述室体限定构造成将来自相同或不同远程等离子体源的反应性物种绕过所述气体分配组件提供至所述化学气相沉积室的所述处理区中的第二入口。
8.如权利要求7所述的化学气相沉积系统,其特征在于,进一步包括适于提供所述第一远程等离子体源和所述化学气相沉积室之间的压力差的流量限制器。
9.如权利要求7所述的化学气相沉积系统,其特征在于,进一步包括连接至所述化学气相沉积室的第二远程等离子体源,并且其中所述第二入口构造成将来自所述第二远程等离子体源的反应性物种绕过所述气体分配组件提供至所述化学气相沉积室的所述处理区中。
10.如权利要求7所述的化学气相沉积系统,其特征在于,所述第二入口构造成将来自所述第一远程等离子体源的反应性物种绕过所述气体分配组件提供至所述化学气相沉积室的所述处理区中。
11.如权利要求7所述的化学气相沉积系统,其特征在于,进一步包括从所述第一远程等离子体源至所述室体的气体管线中的分流器,其中所述分流器构造成向所述第一入口提供由所述第一远程等离子体源产生的所述反应性物种的一部分,并且向第二入口提供由所述第一远程等离子体源产生的所述反应性物种的一部分。
12.如权利要求7所述的化学气相沉积系统,其特征在于,所述室体进一步限定构造成将来自相同或不同远程等离子体源的反应性物种绕过所述气体分配组件提供至所述化学气相沉积室的所述处理区中的第三入口,其中所述第二入口和所述第三入口位于所述化学气相沉积室的相对侧上。
13.一种用于处理平板显示器衬底的化学气相沉积系统,其特征在于包括:
第一远程等离子体源;
第二远程等离子体源;
连接至所述第一远程等离子体源和所述第二远程等离子体源的第一化学气相沉积室,所述第一化学气相沉积室包括:
第一室体;
第一衬底支撑;和
第一气体分配组件;
其中所述第一室体限定构造成将来自所述第一远程等离子体源的反应性物种经由所述第一气体分配组件提供至所述第一化学气相沉积室的处理区中的第一入口,并且所述第一室体限定构造成将来自所述第二远程等离子体源的反应性物种绕过所述第一气体分配组件提供至所述第一化学气相沉积室的所述处理区中的第二入口;和
连接至所述第一远程等离子体源和所述第二远程等离子体源的第二化学气相沉积室,所述第二化学气相沉积室包括:
第二室体;
第二衬底支撑;和
第二气体分配组件;
其中所述第二室体限定构造成将来自所述第一远程等离子体源的反应性物种经由所述第二气体分配组件提供至所述第二化学气相沉积室的处理区中的第一入口;并且所述第二室体限定构造成将来自所述相同或不同的远程等离子体源的反应性物种绕过所述第二气体分配组件提供至所述第二化学气相沉积室的所述处理区中的第二入口。
14.如权利要求13所述的化学气相沉积系统,其特征在于,在所述第一室体中的所述第二入口在所述第一气体分配组件和所述第一衬底支撑之间的所述第一室体的侧壁中,并且在所述第二室体中的所述第二入口在所述第二气体分配组件和所述第二衬底支撑之间的所述第二室体的侧壁中。
15.如权利要求13所述的化学气相沉积系统,其特征在于,进一步包括在每一个所述远程等离子体源和每一个所述室体之间的流量控制器。
CNU2006201176242U 2005-05-27 2006-05-26 用于处理平板显示器衬底的化学气相沉积系统 Expired - Lifetime CN200996046Y (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/139,349 2005-05-27
US11/139,349 US20060266288A1 (en) 2005-05-27 2005-05-27 High plasma utilization for remote plasma clean

Publications (1)

Publication Number Publication Date
CN200996046Y true CN200996046Y (zh) 2007-12-26

Family

ID=37461853

Family Applications (1)

Application Number Title Priority Date Filing Date
CNU2006201176242U Expired - Lifetime CN200996046Y (zh) 2005-05-27 2006-05-26 用于处理平板显示器衬底的化学气相沉积系统

Country Status (4)

Country Link
US (1) US20060266288A1 (zh)
JP (1) JP3123767U (zh)
CN (1) CN200996046Y (zh)
TW (1) TWM307017U (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446791A (zh) * 2010-10-01 2012-05-09 圆益Ips股份有限公司 基板处理装置的清洗方法
CN104641456A (zh) * 2012-09-21 2015-05-20 应用材料公司 使用多个流动途径的自由基化学调制及控制
CN107365976A (zh) * 2013-02-21 2017-11-21 应用材料公司 用于注射器至基板的空隙控制的装置及方法
CN107452590A (zh) * 2016-05-11 2017-12-08 朗姆研究公司 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
CN107516626A (zh) * 2013-07-19 2017-12-26 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
CN108368607A (zh) * 2015-12-23 2018-08-03 辛古勒斯技术股份公司 用于气相沉积的系统和方法
CN109868458A (zh) * 2017-12-05 2019-06-11 北京北方华创微电子装备有限公司 一种半导体设备的清洗系统及清洗方法
CN111155072A (zh) * 2018-11-08 2020-05-15 北京北方华创微电子装备有限公司 腔室用清洗装置及清洗方法、半导体处理设备

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007070116A2 (en) * 2005-08-02 2007-06-21 Massachusetts Institute Of Technology Remote chamber method using sulfur fluoride for removing surface deposits from the interior of a cvd /pecvd- plasma chamber
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
KR101632271B1 (ko) * 2008-04-12 2016-06-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 처리 장치 및 방법
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
WO2010003266A1 (en) * 2008-07-09 2010-01-14 Oerlikon Solar Ip Ag, Trübbach Remote plasma cleaning method and apparatus for applying said method
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US8568529B2 (en) * 2009-04-10 2013-10-29 Applied Materials, Inc. HVPE chamber hardware
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013147377A1 (ko) * 2012-03-30 2013-10-03 주식회사 테스 기상 증착 장치
US20130284092A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Faceplate having regions of differing emissivity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US20160032451A1 (en) * 2014-07-29 2016-02-04 Applied Materials, Inc. Remote plasma clean source feed between backing plate and diffuser
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017120241A1 (en) * 2016-01-07 2017-07-13 Applied Materials, Inc. Atomic layer etching system with remote plasma source and dc electrode
US10770272B2 (en) 2016-04-11 2020-09-08 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
KR102194085B1 (ko) * 2016-04-26 2020-12-22 어플라이드 머티어리얼스, 인코포레이티드 배출 퇴적물 제거를 위한 온도 제어식 원격 플라즈마 세정
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN108878241B (zh) * 2017-05-10 2021-03-02 北京北方华创微电子装备有限公司 半导体设备和半导体设备的反应腔室的清理方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10751765B2 (en) 2018-08-13 2020-08-25 Applied Materials, Inc. Remote plasma source cleaning nozzle for cleaning a gas distribution plate
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11776794B2 (en) 2021-02-19 2023-10-03 Applied Materials, Inc. Electrostatic chuck assembly for cryogenic applications
US20230307216A1 (en) * 2022-03-25 2023-09-28 Applied Materials, Inc. Enhanced chamber clean and recovery with dual flow path

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
GB9207424D0 (en) * 1992-04-04 1992-05-20 British Nuclear Fuels Plc A process and an electrolytic cell for the production of fluorine
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
AU675352B2 (en) * 1993-09-24 1997-01-30 Downer EDI Works Pty Ltd Improvements in and relating to manhole cover frames
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5451784A (en) * 1994-10-31 1995-09-19 Applied Materials, Inc. Composite diagnostic wafer for semiconductor wafer processing systems
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3400293B2 (ja) * 1996-05-01 2003-04-28 株式会社東芝 Cvd装置及びそのクリーニング方法
JP3217274B2 (ja) * 1996-09-02 2001-10-09 株式会社日立製作所 表面波プラズマ処理装置
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6026762A (en) * 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
GB9904925D0 (en) * 1999-03-04 1999-04-28 Surface Tech Sys Ltd Gas delivery system
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
JP3310957B2 (ja) * 1999-08-31 2002-08-05 東京エレクトロン株式会社 プラズマ処理装置
KR100338769B1 (ko) * 1999-10-26 2002-05-30 윤종용 반도체 장치의 절연막 식각방법
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
KR100467082B1 (ko) * 2000-03-02 2005-01-24 주성엔지니어링(주) 반도체소자 제조장치 및 그 클리닝방법
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6383257B1 (en) * 2000-04-04 2002-05-07 Air Products And Chemicals, Inc. Reclamation and separation of perfluorocarbons using condensation
US6437197B1 (en) * 2000-04-27 2002-08-20 Shell Oil Company Process for catalytic hydroxylation of aromatic hydrocarbons
US6569257B1 (en) * 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6634313B2 (en) * 2001-02-13 2003-10-21 Applied Materials, Inc. High-frequency electrostatically shielded toroidal plasma and radical source
US20020144706A1 (en) * 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446791A (zh) * 2010-10-01 2012-05-09 圆益Ips股份有限公司 基板处理装置的清洗方法
CN102446791B (zh) * 2010-10-01 2015-11-04 圆益Ips股份有限公司 基板处理装置的清洗方法
CN104641456A (zh) * 2012-09-21 2015-05-20 应用材料公司 使用多个流动途径的自由基化学调制及控制
CN111463125A (zh) * 2012-09-21 2020-07-28 应用材料公司 使用多个流动途径的自由基化学调制及控制
CN107365976A (zh) * 2013-02-21 2017-11-21 应用材料公司 用于注射器至基板的空隙控制的装置及方法
CN107516626A (zh) * 2013-07-19 2017-12-26 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
CN108368607A (zh) * 2015-12-23 2018-08-03 辛古勒斯技术股份公司 用于气相沉积的系统和方法
CN107452590A (zh) * 2016-05-11 2017-12-08 朗姆研究公司 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
CN107452590B (zh) * 2016-05-11 2021-05-04 朗姆研究公司 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
CN109868458A (zh) * 2017-12-05 2019-06-11 北京北方华创微电子装备有限公司 一种半导体设备的清洗系统及清洗方法
CN111155072A (zh) * 2018-11-08 2020-05-15 北京北方华创微电子装备有限公司 腔室用清洗装置及清洗方法、半导体处理设备
CN111155072B (zh) * 2018-11-08 2022-12-09 北京北方华创微电子装备有限公司 腔室用清洗装置及清洗方法、半导体处理设备

Also Published As

Publication number Publication date
US20060266288A1 (en) 2006-11-30
JP3123767U (ja) 2006-07-27
TWM307017U (en) 2007-03-01

Similar Documents

Publication Publication Date Title
CN200996046Y (zh) 用于处理平板显示器衬底的化学气相沉积系统
CN104073780B (zh) 成膜装置、气体供给装置以及成膜方法
US7452424B2 (en) Vaporizer
TWI612178B (zh) 成膜裝置
US7883581B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
CN104611683A (zh) 为原子层沉积(ald)工艺供应前体的系统和方法
TW527433B (en) Apparatus for fabrication of thin films
CN102543800B (zh) 衬底处理装置、衬底处理方法及半导体装置的制造方法
CN103173741A (zh) 成膜装置
US11970774B2 (en) Method of operating a deposition or cleaning apparatus
US20060121211A1 (en) Chemical vapor deposition apparatus and chemical vapor deposition method using the same
CN101819920A (zh) 衬底处理装置
KR20110131268A (ko) 원자층 퇴적 장치
CN105714272A (zh) 用于提高膜均匀性的装置和方法
KR20110138189A (ko) 지지체 구조 및 처리 장치
WO2014003298A1 (ko) 프로세스 챔버 및 기판 처리 방법
CN104160481A (zh) 分离式泵送方法、装置和系统
US20200032392A1 (en) Hyrodgen partial pressure control in a vacuum process chamber
US6887315B2 (en) Vacuum plate having a symmetrical air-load block
KR20080025695A (ko) 기판 처리 시스템
US20030175426A1 (en) Heat treatment apparatus and method for processing substrates
CN102089848A (zh) 远程等离子体清洗方法和用于应用所述方法的设备
CN112575312B (zh) 薄膜制备设备以及薄膜制备方法
CN210620934U (zh) 一种原子层沉积设备
CN2783707Y (zh) 远距等离子体反应器的制程气体旁通装置

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20071226

EXPY Termination of patent right or utility model