JP3123767U - 遠隔プラズマ洗浄のための高いプラズマ用途 - Google Patents
遠隔プラズマ洗浄のための高いプラズマ用途 Download PDFInfo
- Publication number
- JP3123767U JP3123767U JP2006003622U JP2006003622U JP3123767U JP 3123767 U JP3123767 U JP 3123767U JP 2006003622 U JP2006003622 U JP 2006003622U JP 2006003622 U JP2006003622 U JP 2006003622U JP 3123767 U JP3123767 U JP 3123767U
- Authority
- JP
- Japan
- Prior art keywords
- chemical vapor
- vapor deposition
- remote plasma
- chamber
- plasma source
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J9/00—Apparatus or processes specially adapted for the manufacture, installation, removal, maintenance of electric discharge tubes, discharge lamps, or parts thereof; Recovery of material from discharge tubes or lamps
- H01J9/20—Manufacture of screens on or from which an image or pattern is formed, picked up, converted or stored; Applying coatings to the vessel
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Physics & Mathematics (AREA)
- Analytical Chemistry (AREA)
- Manufacturing & Machinery (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
【課題】大面積の基板を処理するための化学気相堆積チャンバを洗浄する方法および装置が提供される。
【解決手段】化学気相堆積チャンバは、チャンバのガス分配アセンブリをバイパスしながら、遠隔プラズマ源からチャンバ内に反応種を導入する入口、および上記ガス分配アセンブリを経て遠隔プラズマ源からチャンバ内に反応種を導入する入口を含む。
【選択図】図1
【解決手段】化学気相堆積チャンバは、チャンバのガス分配アセンブリをバイパスしながら、遠隔プラズマ源からチャンバ内に反応種を導入する入口、および上記ガス分配アセンブリを経て遠隔プラズマ源からチャンバ内に反応種を導入する入口を含む。
【選択図】図1
Description
考案の分野
[0001]本考案の実施形態は、一般的に、化学気相堆積チャンバを洗浄する方法に関する。特に、本考案の実施形態は、大面積の基板を処理するための化学気相堆積チャンバを洗浄する方法に関する。
[0001]本考案の実施形態は、一般的に、化学気相堆積チャンバを洗浄する方法に関する。特に、本考案の実施形態は、大面積の基板を処理するための化学気相堆積チャンバを洗浄する方法に関する。
[0002]化学気相堆積法(CVD)は、集積回路および半導体素子の製造時に基板上に層を形成するための材料を堆積する方法に広く使用される。化学気相堆積法は、通常、化学気相堆積チャンバ内の基板支持体上に支持された基板にガスを運搬することによって行われる。上記ガスは、チャンバ内のガス分配アセンブリを通じて基板に運搬される。
[0003]化学気相堆積時に、堆積材料がガス分配アセンブリおよびチャンバの内部側壁などのチャンバの構成要素上にさらに形成される。この堆積された材料は、次の処理で剥離されることができ、またチャンバ内の基板の構成要素を損傷させたり破壊させ得る汚染粒子を生成することもできる。したがって、周期的なチャンバ洗浄が求められる。
[0004]現在、チャンバを洗浄する方法の一つとして、遠隔プラズマ源が使用されている。上記遠隔プラズマ源は、洗浄ガスをチャンバ外部でラジカルまたは反応種に解離させる。その後、上記反応種は、チャンバに流入してチャンバを洗浄する。遠隔で反応種を発生させることによって、チャンバの内部が露出せず、洗浄ガスを解離させるのに必要な高いレベルのパワーを潜在的に損傷させる。
[0005]遠隔プラズマ源を使用したチャンバ洗浄は、遠隔プラズマ源が備えた予想解離速度に基づいて予測できるほど十分でない場合もあることが観察された。遠隔プラズマ源によって発生した反応種は、再結合して、ラジカルより洗浄において効率的でない分子を形成する。例えば、洗浄ガスNF3は、再結合して、F2を形成するフッ素ラジカルを発生させてもよい。
[0006]再結合の程度は、プラズマパワーがターンオンされる遠隔プラズマ源からガスを収容する化学気相堆積チャンバ内で測定された圧力と、プラズマパワーがターンオフされる遠隔プラズマ源からガスを収容する化学気相堆積チャンバ内で測定された圧力とを比較することによって予想することができる。遠隔プラズマパワーがオンである時のチャンバ内の圧力がより高くなければならず、これは、プラズマが1つの分子を、チャンバ圧力を増加させる多数の反応種に分けるためである。例えば、NF3を解離させるためにターンオンされたプラズマパワーを有する遠隔プラズマ源からガスを収容するチャンバは、遠隔プラズマ源から非解離のNF3を収容するチャンバ圧力の4倍の圧力を有しなければならず、これは、NF3が1つの窒素原子と3つのフッ素原子を解離させるためである。しかし、現在の遠隔プラズマ源および化学気相堆積チャンバを使用することによって、NF3を解離させるためにターンオンされたプラズマパワーを有する遠隔プラズマ源からガスを収容するチャンバの圧力は、ターンオフされたプラズマパワーを有する遠隔プラズマ源から非解離のNF3を収容するチャンバの圧力の約2倍の圧力しか有しない。したがって、ターンオンされたプラズマパワーを有する遠隔プラズマ源からガスを収容するチャンバの圧力が予想圧力の約50%であるため、反応種の再結合によって反応種の略50%がチャンバ内で失われてしまうことが明らかになる。
[0007]再結合の一つの原因は、化学気相堆積チャンバのガス分配アセンブリによって提供される、限定された流れ面積である。ガス分配アセンブリは、通常、遠隔プラズマ源からの反応種がチャンバの処理領域に入るために通過しなければならない非常に小さい直径の多数の貫通孔を含む。このような小面積では、大面積より反応種が衝突および再結合しやすい傾向にある。
[0008]再結合から得られた低いチャンバ洗浄率は、チャンバを洗浄するために求められる時間を増加させ、これは、チャンバの基板スループットを減少させ、チャンバを洗浄するために求められる洗浄ガスの費用を増加させる。チャンバのエッジおよびコーナーなどのチャンバの一部を十分に洗浄するために求められる余分の洗浄時間は、チャンバの他の部分に対してオーバーエッチングすることによって損傷をもたらす。したがって、遠隔プラズマ源を使用して化学気相堆積チャンバをより効率的に洗浄するための方法および装置に対する必要性が残る。特に、フラットパネルディスプレイ基板などの、例えば、大面積の1000mm×1000mm以上の基板を処理するための化学気相堆積チャンバをより効率的に洗浄するための方法および装置に対する必要性が残る。
[0009]本考案は、一般的に、フラットパネルディスプレイ基板などの、大面積の基板を処理するための化学気相堆積チャンバなどの、化学気相堆積チャンバを洗浄するための方法および装置を提供する。一実施形態において、フラットパネルディスプレイ基板を処理するための化学気相堆積システムは、チャンバ本体、基板支持体、およびガス分配アセンブリを備える化学気相堆積チャンバを備え、上記チャンバ本体は、上記ガス分配アセンブリを経て上記遠隔プラズマ源から反応種を上記化学気相堆積チャンバの処理領域に提供するために構成された第1入口を画成し、上記チャンバ本体は、上記ガス分配アセンブリをバイパスしながら、同一または異なる遠隔プラズマ源から反応種を上記化学気相堆積チャンバの処理領域に提供するために構成された1つ以上の入口を画成する。
[0010]別の実施形態において、フラットパネルディスプレイ基板を処理するための化学気相堆積システムは、第1遠隔プラズマ源、および上記遠隔プラズマ源に連結され、チャンバ本体、基板支持体、およびガス分配アセンブリを備える化学気相堆積チャンバを備え、上記チャンバ本体は、上記ガス分配アセンブリを経て上記第1遠隔プラズマ源から反応種を上記化学気相堆積チャンバの処理領域に提供するために構成された第1入口を画成し、上記チャンバ本体は、上記ガス分配アセンブリをバイパスしながら、同一または異なる遠隔プラズマ源から反応種を上記化学気相堆積チャンバの処理領域に提供するために構成された第2入口を画成する。
[0011]別の実施形態において、フラットパネルディスプレイ基板を処理するための化学気相堆積システムは、第1遠隔プラズマ源、第2遠隔プラズマ源、上記第1遠隔プラズマ源および上記第2遠隔プラズマ源に連結され、第1チャンバ本体、第1基板支持体、および第1ガス分配アセンブリを備える第1化学気相堆積チャンバであって、上記第1チャンバ本体は、上記第1ガス分配アセンブリを経て上記第1遠隔プラズマ源から反応種を上記第1化学気相堆積チャンバの処理領域に提供するために構成された第1入口を画成し、上記第1チャンバ本体は、上記第1ガス分配アセンブリをバイパスしながら、上記第2遠隔プラズマ源から反応種を上記第1化学気相堆積チャンバの処理領域に提供するために構成された第2入口を画成する。上記化学気相堆積システムは、上記第1遠隔プラズマ源および上記第2遠隔プラズマ源に連結された第2化学気相堆積チャンバをさらに備える。上記第2化学気相堆積チャンバは、第2チャンバ本体、第2基板支持体、および第2ガス分配アセンブリを備え、上記第2チャンバ本体は、上記第2ガス分配アセンブリを経て上記第1遠隔プラズマ源から反応種を上記第2化学気相堆積チャンバの処理領域に提供するために構成された第1入口を画成し、上記第2チャンバ本体は、上記第2ガス分配アセンブリをバイパスしながら、上記第2遠隔プラズマ源から反応種を上記第2化学気相堆積チャンバの処理領域に提供するために構成された第2入口を画成する。
[0012]さらに別の実施形態において、化学気相堆積チャンバを洗浄する方法は、化学気相堆積チャンバのガス分配アセンブリを経て遠隔プラズマ源から反応種を化学気相堆積チャンバの処理領域に提供するために構成された第1入口を通じて、上記遠隔プラズマ源から反応種を上記化学気相堆積チャンバに導入するステップと、およびガス分配アセンブリをバイパスしながら、同一または異なる遠隔プラズマ源から反応種を化学気相堆積チャンバの処理領域に提供するために構成された第2入口を通じて、上記同一または異なる遠隔プラズマ源から反応種を上記化学気相堆積チャンバの処理領域に導入するステップと、を備える。
[0013]上記引用された本考案の特徴が具体的に理解できるように、上記簡単にまとめられた本考案の記載は、添付図面によって詳細に説明される上記実施形態を参考しなければならない。しかし、この添付図面は、単に本考案の代表的な実施形態を説明するものであって、その適用範囲を制限する必要がないため、その他の同様の効果を有する実施形態に適用可能であることに注意しなければならない。
詳細な説明
[0018]本考案の実施形態は、チャンバのガス分配アセンブリを経て遠隔プラズマ源から反応種をチャンバの処理領域に提供するための第1入口、およびガス分配アセンブリを通じて反応種を流入せず、すなわち、ガス分配アセンブリをバイパスしながら、遠隔プラズマ源からの反応種をチャンバの処理領域に提供するための第2入口を備える化学気相堆積チャンバを含む化学気相堆積システムを提供する。
[0018]本考案の実施形態は、チャンバのガス分配アセンブリを経て遠隔プラズマ源から反応種をチャンバの処理領域に提供するための第1入口、およびガス分配アセンブリを通じて反応種を流入せず、すなわち、ガス分配アセンブリをバイパスしながら、遠隔プラズマ源からの反応種をチャンバの処理領域に提供するための第2入口を備える化学気相堆積チャンバを含む化学気相堆積システムを提供する。
[0019]図1は、本考案の一実施形態によるプラズマ化学気相堆積システム200の概略断面図である。上記プラズマ増強型化学気相堆積システム200は、カリフォルニア・サンタクララのアプライドマテリアルズ社の事業部であるAKTから利用可能なプラズマ化学気相堆積システム4300に類似する。本考案の実施形態によって変形されることもできる他のシステムは、カリフォルニア州サンタクララのアプライドマテリアルズ社の事業部であるAKTからさらに利用可能な3500、5500、10K、15K、20K、25Kおよび40Kチャンバを含む。上記システム200は、一般的に、前駆体供給装置52に結合された化学気相堆積チャンバ203を含む。化学気相堆積チャンバ203は、側壁206、底208、およびチャンバ内部の処理体積または領域212を画成する蓋アセンブリ210を有する。処理領域212は、通常、化学気相堆積チャンバ203の内外に基板240を容易に移動させることができる側壁206のポート(図示せず)を通じて接近される。側壁206および底208は、通常、処理に好適なアルミニウム、ステンレス鋼、または他の材料から製作される。側壁206は、多様なポンピング構成要素(図示せず)を含む排出システムに処理領域212を結合するポンピングプレナム214を収納する蓋アセンブリ210を支持する。側壁206、底208、および蓋アセンブリ210は、チャンバ本体202を画成する。
[0020]ガス入口導管またはパイプ42がチャンバ本体202の中央蓋領域で注入ポートまたは入口280に延長され、各種のガス源に連結される。前駆体供給装置52は、堆積時に使用される前駆体を含む。前駆体は、ガスまたは液体でもよい。基板上に堆積される材料に依存して特別な前駆体が使用される。処理ガスが入口導管42を通じて入口280に流入した後、チャンバ203に流入する。電子作動弁および流れ制御機構54がガス供給装置から入口280へのガスの流れを制御する。
[0021]また、第2ガス供給システムが入口導管42を通じてチャンバに連結される。上記第2ガス供給システムは、例えば、堆積された材料を除去するなどの洗浄のために使用されるガスを、チャンバ内で1つ以上の化学気相堆積プロセスが行われた後、チャンバの内部に供給する。場合によっては、第1および第2ガス供給装置が結合されることもできる。
[0022]第2ガス供給システムは、三フッ化窒素または六フッ化硫黄などの洗浄ガス(または液体)源64、化学気相堆積チャンバから任意の距離で外側に位置する遠隔プラズマ源66、電子作動弁および流れ制御機構70、および遠隔プラズマ源を化学気相堆積チャンバ203に連結する導管またはパイプ77を含む。このような構成は、チャンバの内側表面を遠隔プラズマ源を使用して洗浄できるようにする。
[0023]また、第2ガス供給システムは、酸素またはキャリアガスなどの1つ以上の追加的なガス(または液体)の1つ以上の源72を含む。上記追加的なガスは、他の弁および流れ制御機構73を通じて遠隔プラズマ源66に連結される。キャリアガスは、遠隔プラズマ源に発生した反応種の堆積チャンバへの輸送を補助し、使用される特別な洗浄プロセスに好適な何らかの非反応性ガスであることができる。例えば、キャリアガスは、アルゴン、窒素またはヘリウムでもよい。また、キャリアガスは、洗浄プロセスの役に立つこともでき、または化学気相堆積チャンバ内におけるプラズマの初期化および/または安定化を助けることもできる。
[0024]場合によって、パイプ77内に流れ制限器76が備えられる。流れ制限器76は、遠隔プラズマ源66と堆積チャンバ203との間の経路のどこにでも配置されることができる。流れ制限器76は、遠隔プラズマ源66と堆積チャンバ203との間に圧力差が提供されるようにする。また、流れ制限器76は、遠隔プラズマ源66を出て堆積チャンバ203に入る限り、ガスおよびプラズマ混合物に対するミキサとして作用することもできる。
[0025]弁および流れ制御機構70は、源64からのガスを遠隔プラズマ源66に使用者が選択した流れ速度で運搬する。遠隔プラズマ源66は、誘導によって結合された遠隔プラズマ源などのRFプラズマ源であってもよい。遠隔プラズマ源66は、源64からガスまたは液体を活性化し、導管77および入口パイプ42を通じて入口280を通じて堆積チャンバに流入する反応種を形成する。そのため、入口280は、処理領域212を含む化学気相堆積チャンバ203の内側領域に反応種を運搬するために使用される。
[0026]蓋アセンブリ210は、処理領域212に上部境界を提供する。蓋アセンブリ210は、入口280が画成される中央蓋領域205を含む。蓋アセンブリ210は、通常、化学気相堆積チャンバ203として機能するために除去または開放されることができる。一実施形態において、蓋アセンブリ210は、アルミニウム(Al)で製作される。蓋アセンブリ210は、外部ポンピングシステム(図示せず)に結合され、その中に形成されたポンピングプレナム214を含む。ポンピングプレナム214は、ガスを伝達し、化学気相堆積チャンバ203の外部に処理領域212から均一に副生成物を処理するのに利用される。
[0027]ガス分配アセンブリ218は、蓋アセンブリ210の内側側部220に結合される。ガス分配アセンブリ218は、遠隔プラズマ源によって発生した反応種を含み、化学気相堆積のためのガスを処理するガスが、処理領域212に運搬されるガス分配板258に穿孔面積216を含む。ガス分配板258の穿孔面積216は、処理堆積212へのガス分配アセンブリ218を通過するガスの分配を均一に提供するために構成される。本考案から有効に適合され得るガス分配板は、Kellerらによって2001年8月3日に出願された米国特許出願第09/922,219号、現在発行された米国特許第6,772,827、Yimらによって2002年5月6日に出願された10/140,324号、およびBloniganらによって2003年1月7日に出願された10/337,483号、Whiteらによって2002年11月12日に発行された米国特許第6,477,980号、およびChoiらによって2003年4月16日に出願された米国特許第10/417,592号に同一出願人によるものとして記載されており、その全体が参照として本明細書に組み込まれている。
[0028]ガス分配板258は、通常、ステンレス鋼、アルミニウム(Al)、陽極酸化アルミ、ニッケル(Ni)または別のRF導電性材料から製作される。ガス分配板258は、基板処理に不利に影響しないように十分な平坦さおよび均一性を維持する厚さで構成される。一実施形態において、ガス分配板258は、約1.0インチ〜約2.0インチの間の厚さを有する。
[0029]入口280に加えて、チャンバ本体202は、遠隔プラズマ源から反応種を提供する第2入口282を含む。遠隔プラズマ源は、図1に示されるように、ガス分配アセンブリ218を経て入口280を通じて処理領域に反応種を提供する同一の遠隔プラズマ源66であってもよく、または図3について以下に説明する異なる遠隔プラズマ源であってもよい。第2入口282は、ガス分配アセンブリ218をバイパスしながら、遠隔プラズマ源から反応種をチャンバ203の処理領域212に提供するために構成される。つまり、第2入口282によって提供された反応種は、ガス分配アセンブリ218の穿孔ガス分配板258を通過しない。第2入口は、ガス分配板258と基板支持体224との間のように、ガス分配アセンブリ218の下のチャンバ本体202の側壁206に位置してもよい。遠隔プラズマ源から第2入口282へのガスライン284は、第2入口282を通じて遠隔プラズマ源からチャンバ203の処理領域212に反応種を運搬する。
[0030]通常、遠隔プラズマ源からガスライン77に切替器79が備えられる。切替器79は、遠隔プラズマ源66からの反応種の第1部分が切替器79とチャンバ203との間のライン42を経てチャンバ203の第1入口280に指向され、遠隔プラズマ源からの反応種の第2部分が切替器79とチャンバ203との間のライン284を経てチャンバの第2入口282に指向されるようにする。
[0031]温度制御された基板支持体アセンブリ238がチャンバ203内部の中央に配置される。支持体アセンブリ238は、処理時に基板240を支持する。一実施形態において、基板支持体アセンブリ238は、少なくとも1つの埋め込まれたヒータ232をカプセル化したアルミニウム体を有する基板支持体224を備える。支持体アセンブリ238内に配置された抵抗性要素などのヒータ232は、任意の電源274に結合され、支持体アセンブリ238およびその上に位置する基板240を制御可能に所定温度に加熱する。
[0032]一般的に、支持体アセンブリ238は、下側226および上側234を備える基板アセンブリ224を有する。上側234は、基板240を支持する。下側226は、それに結合されたステム242を有する。ステム242は、上昇した処理位置(図示せず)と、化学気相堆積チャンバ203にかつ化学気相堆積チャンバ203から基板移送を容易にする下降位置との間で支持体アセンブリ238を移動させるリフトシステム(図示せず)に支持体アセンブリ238を結合させる。ステム242は、支持体アセンブリ238とシステム200の他の構成要の間に電気用導管および熱電対リード線をさらに提供する。
[0033]支持体アセンブリ238(またはステム242)と化学気相堆積チャンバ203の底208との間にベローズ246が結合される。ベローズ246は、支持体アセンブリ238の垂直移動を容易にしながら、処理領域212と化学気相堆積チャンバ203の外側雰囲気との間に真空シールを提供する。
[0034]支持体アセンブリ238は、一般的に、蓋アセンブリ210と基板支持体アセンブリ238(またはチャンバの蓋アセンブリの内部または近傍に位置する他の電極)との間に位置するガス分配アセンブリ218に電源222によって供給されるRFパワーが支持体アセンブリ238とガス分配アセンブリ218との間の処理領域212に存在するガスを励起させることもできるように接地される。支持体アセンブリ238は、さらに外接するシャドーフレーム248を支持する。一般的に、シャドーフレーム248は、基板が支持体アセンブリ238に付着しないように基板240のエッジと支持体アセンブリ238に堆積することを防止する。支持体アセンブリ238は、複数のリフトピン250を貫通して収容する複数の孔228を有する。
[0035]図2は、本考案の別の実施形態によるプラズマ化学気相堆積システム201の概略断面図である。図2に示されるように、システム201は、図1に示すシステム200に類似する(図1および図2における同一の構成要素は、同一の参照符号で表されている)。しかし、図1のシステム200は、ガス分配アセンブリ218をバイパスしながら、遠隔プラズマ源から反応種を提供するために構成された1つの入口282を含む一方、システム201は、ガス分配アセンブリ218をバイパスしながら、遠隔プラズマ源から反応種を提供するために構成された2つの入口286、288を含む。遠隔プラズマ源から入口288へのガスライン283は、遠隔プラズマ源からチャンバ203の処理領域に入口288を通じて反応種を運搬する。遠隔プラズマ源から入口286へのガスライン285は、遠隔プラズマ源からチャンバ203の処理領域に入口286を通じて反応種を運搬する。場合によって、システム201は、また、遠隔プラズマ源66と第1入口280との間の任意の流れ制限器76と、遠隔プラズマ源66と入口286、288との間の他の任意の流れ制限器75とがあるような、第2流れ制限器75を備える。反応種の一部が入口286を経て処理領域212に提供されることもでき、反応種の一部が入口288を経て処理領域に提供されることもできるように、流れ制限器75と入口286、288との間の切替器78が遠隔プラズマ源66から入口286、288への反応種の流れを制御する。入口286、288は、チャンバの対向側部上のチャンバ本体202の側壁206に位置することもできる。2つの間隔を置いた個別の入口286、288を提供することによって、チャンバを横切って反応種の均一な分配の形成を向上させると考えられる。
[0036]図3は、本考案の別の実施形態によるプラズマ化学気相堆積システム209の概略断面図である。図3に示されるように、システム209は、図1に示すシステム200に類似する(図1および図3における同一の構成要素は、同一の参照符号で表されている)。しかしながら、システム209は、2つの遠隔プラズマ源を備える。図3に概略的に示すように、遠隔プラズマ源66と、流れ制御機構70、73、ガス源64、72、および任意の流れ制限器76などの関連部品を備える第1遠隔プラズマアセンブリ260がガスライン42を経てチャンバ203に連結されており、遠隔プラズマ源を備える第2遠隔プラズマアセンブリ260がガスライン43を経てチャンバ203に連結されている。ガスライン42からの反応種は、入口280を経てチャンバに導入され、ガスライン43からの反応種は、入口282を経てチャンバに導入される。反応種が異なる遠隔プラズマ源から入口280、282に導入されるため、切替器は、1つの遠隔プラズマ源と2つの入口との間の流れを規制するのに不必要である。
[0037]図4は、本考案の別の実施形態によるプラズマ化学気相堆積システム400の概略断面図である。システム400は、第1化学気相堆積チャンバ402、第2化学気相堆積チャンバ404、第1遠隔プラズマ源406、および第2遠隔プラズマ源408を含む。化学気相堆積チャンバ402、第2化学気相堆積チャンバ404、第1遠隔プラズマ源406、および第2遠隔プラズマ源408が図4に簡単に示されており、図1〜図3について先に記載の遠隔プラズマ源および化学気相堆積チャンバの一部またはすべての構成要素を含んでもよい。遠隔プラズマ源406は、チャンバ402、404の蓋領域414、416における入口410、412に反応種をそれぞれ提供する。反応種は、ガス分配アセンブリ424、426を通じてチャンバ402、404の処理領域420、422に入る。遠隔プラズマ源408は、チャンバ(402、402)の側壁(434、436)における入口(430、432)に反応種を提供する。したがって、遠隔プラズマ源408からの反応種は、ガス分配アセンブリ(424、426)をバイパスする。
[0038]図4に示すプラズマ化学気相堆積システムは、いくつかのチャンバを洗浄することが求められる遠隔プラズマ源の数を減少させる。例えば、図3に示されるシステムは、1つの化学気相堆積チャンバ当たり2つの遠隔プラズマ源を含み、図4に示すシステムは、2つの遠隔プラズマ源を有する2つの化学気相堆積チャンバの洗浄方法を提供する。他の1つのチャンバが2つの遠隔プラズマ源によって洗浄される間、図4に示すシステムのチャンバのうち1つで堆積プロセスが行われてもよい。第1チャンバにおける堆積プロセスが完了した後、2つの遠隔プラズマ源は、第1チャンバを洗浄するのに使用されてもよく、他の1つのチャンバでの堆積プロセスが同時に行われてもよい。
[0039]図4は、第1遠隔プラズマ源がチャンバのガス分配アセンブリを通じて2つのチャンバの処理領域に反応種を提供し、第2遠隔プラズマ源がチャンバのガス分配アセンブリをバイパスしながら、2つのチャンバの処理領域に反応種を提供する実施形態を示しており、他の実施形態において、他の数の遠隔プラズマ源およびチャンバがともに使用されてもよい。例えば、第1遠隔プラズマ源が3つ以上のチャンバの第1入口に結合されてもよく、第2遠隔プラズマ源が3つ以上のチャンバの第2入口に結合されてもよい。
[0040]本考案の実施形態によって提供されたプラズマ化学気相堆積システムは、化学気相堆積チャンバのガス分配アセンブリをバイパスしながら、化学気相堆積チャンバの処理領域に反応種を導入する入口を含み、本考案の実施形態は、化学気相堆積チャンバのガス分配アセンブリをバイパスしながら、化学気相堆積チャンバの処理領域に遠隔プラズマ源から反応種を導入する段階を含むプラズマ化学気相堆積システムの洗浄方法を提供する。同一または異なる遠隔プラズマ源からの反応種がガス分配アセンブリを経てチャンバの処理領域に反応種を提供するように構成された個別の入口を通じてチャンバに導入されてもよい。
[0041]反応種は、標準となる遠隔プラズマ源の条件を使用して、ハロゲン含有ガスのような、例えば、NF3、F2、CF4、SF6、C2F6、CCl4、C2Cl6またはそれらの組み合わせなどのフッ素含有ガスなどの、従来の洗浄ガスから形成されてもよい。内部RFパワーなどの化学気相堆積チャンバによって提供されたイン・シトゥパワーで、F2種などの追加的な分解種によって洗浄速度を強化するためにチャンバ洗浄プロセスの間に使用されることもできる。
[0042]ガス分配アセンブリを経て少なくとも一部の反応種を提供することによって、ガス分配アセンブリが洗浄されるか、または反応種によって少なくとも部分的に洗浄される。好ましくは、チャンバの処理領域に導入される反応種の大部分は、ガス分配アセンブリをバイパスしながら導入される。例えば、反応種は、第1入口およびガス分配アセンブリを通じて第1流れ速度でチャンバの処理領域に導入されてもよく、反応種は、ガス分配アセンブリをバイパスしながら、第1流れ速度より約1〜約10倍大きい第2流れ速度で第2入口を通じてチャンバの処理領域に導入されてもよい。例えば、変形されたAKT 25K PECVDチャンバに対して、第1流れ速度は約2slmであってもよく、第2流れ速度は約10slmであってもよい。
[0043]ガス分配アセンブリをバイパスしながら、チャンバの処理領域への反応種の導入と同時に、ガス分配アセンブリを経てチャンバの処理領域に反応種が導入されてもよいが、チャンバ内の他の入口を通じて反応種の導入が連続して行われることもできる。例えば、ガス分配アセンブリの穿孔を洗浄するに十分な時間週期のような第1時間週期の間、第1入口およびガス分配アセンブリを通じてチャンバの処理領域に反応種が導入されてもよい。その後、第1入口を通じた反応種の流れが終了してもよく、チャンバの他の構成要素を洗浄するための第2時間週期の間、ガス分配アセンブリをバイパスする第2入口を通じてチャンバの処理領域に反応種が導入されてもよい。
[0044]ガス分配アセンブリをバイパスしながら、反応種の大部分をチャンバに提供することは、ガス分配アセンブリの小さな直径(例えば、16mils)の穿孔を通じて反応種が流入する活性種の再結合の量を減少させることによってチャンバ洗浄率を増加させる。
[0045]以上、本考案の実施形態について記述したが、その基本的な範囲を逸脱しない限り、本考案における他のおよびさらなる実施形態が考案されることもでき、その範囲は実用新案登録請求の範囲によって決定される。
54…弁および制御器、66…遠隔プラズマ源、70…弁および制御器、73…弁および制御器、222…電源、274…電源。
Claims (15)
- フラットパネルディスプレイ基板を処理するための化学気相堆積システムであって、
チャンバ本体、基板支持体、およびガス分配アセンブリを備える化学気相堆積チャンバを備え、
前記チャンバ本体が、前記ガス分配アセンブリを経て遠隔プラズマ源から反応種を前記化学気相堆積チャンバの処理領域に提供するために構成された第1入口を画成し、前記チャンバ本体が、前記ガス分配アセンブリをバイパスしながら、同一または異なる遠隔プラズマ源から反応種を前記化学気相堆積チャンバの処理領域に提供するために構成された1つ以上の入口を画成する、化学気相堆積システム。 - 前記第2入口が、前記ガス分配アセンブリと前記基板支持体との間のチャンバ本体の側壁にある、請求項1に記載の化学気相堆積システム。
- 前記第1入口が、前記チャンバ本体の蓋領域にある、請求項1に記載の化学気相堆積システム。
- 前記第2入口が、前記ガス分配アセンブリの下のチャンバ本体の側壁にある、請求項3に記載の化学気相堆積システム。
- 前記チャンバ本体が、前記ガス分配アセンブリをバイパスしながら、同一または異なる遠隔プラズマ源から反応種を化学気相堆積チャンバの処理領域に提供するために構成された1つ以上の入口を画成する、請求項1に記載の化学気相堆積システム。
- 前記チャンバ本体が、前記ガス分配アセンブリをバイパスしながら、同一または異なる遠隔プラズマ源から反応種を化学気相堆積チャンバの処理領域に提供するために構成された2つの入口を画成し、前記2つの入口が、前記化学気相堆積チャンバの対向側部上に位置する、請求項1に記載の化学気相堆積システム。
- フラットパネルディスプレイ基板を処理するための化学気相堆積システムであって、
第1遠隔プラズマ源と、
前記遠隔プラズマ源に連結され、チャンバ本体、基板支持体、およびガス分配アセンブリを備える化学気相堆積チャンバと、
を備え、
前記チャンバ本体が、前記ガス分配アセンブリを経て前記第1遠隔プラズマ源から反応種を前記化学気相堆積チャンバの処理領域に提供するために構成された第1入口を画成し、前記チャンバ本体が、前記ガス分配アセンブリをバイパスしながら、同一または異なる遠隔プラズマ源から反応種を前記化学気相堆積チャンバの処理領域に提供するために構成された第2入口を画成する化学気相堆積システム。 - 前記第1遠隔プラズマ源と前記化学気相堆積チャンバとの間に圧力差を提供するために適用された流れ制限器をさらに備える、請求項7に記載の化学気相堆積システム。
- 前記化学気相堆積チャンバに連結された第2遠隔プラズマ源をさらに備え、前記第2入口が、前記ガス分配アセンブリをバイパスしながら、第2遠隔プラズマ源から反応種を化学気相堆積チャンバの処理領域に提供するために構成される、請求項7に記載の化学気相堆積システム。
- 前記第2入口が、前記ガス分配アセンブリをバイパスしながら、前記第1遠隔プラズマ源から反応種を化学気相堆積チャンバの処理領域に提供するために構成された、請求項7に記載の化学気相堆積システム。
- 前記第1遠隔プラズマ源から前記チャンバ本体へのガスラインに切替器をさらに備え、前記切替器が、第1遠隔プラズマ源から発生した反応種の一部を前記第1入口に提供し、第1遠隔プラズマ源によって発生した反応種の一部を前記第2入口に提供するために構成された、請求項7に記載の化学気相堆積システム。
- 前記チャンバ本体が、前記ガス分配アセンブリをバイパスしながら、同一または異なる遠隔プラズマ源から反応種を前記化学気相堆積チャンバの処理領域に提供するために構成された第3入口をさらに画成し、前記第2および第3入口が前記化学気相堆積チャンバの対向側部上に位置する、請求項7に記載の化学気相堆積システム。
- フラットパネルディスプレイ基板を処理するための化学気相堆積システムであって、
第1遠隔プラズマ源と、
第2遠隔プラズマ源と、
前記第1遠隔プラズマ源および前記第2遠隔プラズマ源に連結され、第1チャンバ本体、第1基板支持体、および第1ガス分配アセンブリを備える第1化学気相堆積チャンバであって、前記第1チャンバ本体が前記第1ガス分配アセンブリを経て前記第1遠隔プラズマ源から反応種を前記第1化学気相堆積チャンバの処理領域に提供するために構成された第1入口を画成し、かつ前記第1チャンバ本体が前記第1ガス分配アセンブリをバイパスしながら、前記第2遠隔プラズマ源から反応種を前記第1化学気相堆積チャンバの処理領域に提供するために構成された第2入口を画成する、第1化学気相堆積チャンバと、
前記第1遠隔プラズマ源および前記第2遠隔プラズマ源に連結され、第2チャンバ本体、第2基板支持体、および第2ガス分配アセンブリを備える第2化学気相堆積チャンバであって、前記第2チャンバ本体が前記第2ガス分配アセンブリを経て前記第1遠隔プラズマ源から反応種を前記第2化学気相堆積チャンバの処理領域に提供するために構成された第1入口を画成し、かつ前記第2チャンバ本体が前記第2ガス分配アセンブリをバイパスしながら、前記第2遠隔プラズマ源から反応種を前記第2化学気相堆積チャンバの処理領域に提供するために構成された第2入口を画成する、第2化学気相堆積チャンバと、
を備える化学気相堆積システム。 - 前記第1チャンバ本体の第2入口が、前記第1ガス分配アセンブリと前記第1基板支持体との間の第1チャンバ本体の側壁にあり、前記第2チャンバ本体の第2入口が、前記第2ガス分配アセンブリと前記第2基板支持体との間の第2チャンバ本体の側壁にある、請求項13に記載の化学気相堆積システム。
- 各遠隔プラズマ源と各チャンバ本体との間に流れ制御器をさらに備える、請求項13に記載の化学気相堆積システム。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/139,349 US20060266288A1 (en) | 2005-05-27 | 2005-05-27 | High plasma utilization for remote plasma clean |
Publications (1)
Publication Number | Publication Date |
---|---|
JP3123767U true JP3123767U (ja) | 2006-07-27 |
Family
ID=37461853
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006003622U Expired - Fee Related JP3123767U (ja) | 2005-05-27 | 2006-05-15 | 遠隔プラズマ洗浄のための高いプラズマ用途 |
Country Status (4)
Country | Link |
---|---|
US (1) | US20060266288A1 (ja) |
JP (1) | JP3123767U (ja) |
CN (1) | CN200996046Y (ja) |
TW (1) | TWM307017U (ja) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2011517121A (ja) * | 2008-04-12 | 2011-05-26 | アプライド マテリアルズ インコーポレイテッド | プラズマ処理装置及び方法 |
JP2011525054A (ja) * | 2008-06-19 | 2011-09-08 | アプライド マテリアルズ インコーポレイテッド | 洗浄ガスをプロセスチャンバーに供給するための方法およびシステム |
JP2015532016A (ja) * | 2012-09-21 | 2015-11-05 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ラジカルの化学的性質の複数の流れ経路を使用した調節及び制御 |
JP2020184639A (ja) * | 2016-04-11 | 2020-11-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ウエハガス放出のためのプラズマエンハンストアニールチャンバ |
Families Citing this family (167)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101238238A (zh) * | 2005-08-02 | 2008-08-06 | 麻省理工学院 | 使用氟化硫从cvd/pecvd腔的内部除去表面沉积物的远程腔方法 |
US8709162B2 (en) * | 2005-08-16 | 2014-04-29 | Applied Materials, Inc. | Active cooling substrate support |
US20090258162A1 (en) * | 2008-04-12 | 2009-10-15 | Applied Materials, Inc. | Plasma processing apparatus and method |
WO2010003266A1 (en) * | 2008-07-09 | 2010-01-14 | Oerlikon Solar Ip Ag, Trübbach | Remote plasma cleaning method and apparatus for applying said method |
US7967913B2 (en) * | 2008-10-22 | 2011-06-28 | Applied Materials, Inc. | Remote plasma clean process with cycled high and low pressure clean steps |
US8491720B2 (en) * | 2009-04-10 | 2013-07-23 | Applied Materials, Inc. | HVPE precursor source hardware |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
KR20120034341A (ko) * | 2010-10-01 | 2012-04-12 | 주식회사 원익아이피에스 | 기판처리장치의 세정방법 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
CN103348776B (zh) * | 2011-02-15 | 2017-06-09 | 应用材料公司 | 多区等离子体生成的方法和设备 |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013147377A1 (ko) * | 2012-03-30 | 2013-10-03 | 주식회사 테스 | 기상 증착 장치 |
US20130284092A1 (en) * | 2012-04-25 | 2013-10-31 | Applied Materials, Inc. | Faceplate having regions of differing emissivity |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
TW201437423A (zh) * | 2013-02-21 | 2014-10-01 | Applied Materials Inc | 用於注射器至基板的空隙控制之裝置及方法 |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9556507B2 (en) | 2013-03-14 | 2017-01-31 | Applied Materials, Inc. | Yttria-based material coated chemical vapor deposition chamber heater |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
CN107516626B (zh) * | 2013-07-19 | 2021-03-26 | 朗姆研究公司 | 用于原位晶片边缘和背侧等离子体清洁的系统和方法 |
US20150020848A1 (en) * | 2013-07-19 | 2015-01-22 | Lam Research Corporation | Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
JP5764228B1 (ja) * | 2014-03-18 | 2015-08-12 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体 |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US20160032451A1 (en) * | 2014-07-29 | 2016-02-04 | Applied Materials, Inc. | Remote plasma clean source feed between backing plate and diffuser |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US20160138161A1 (en) * | 2014-11-19 | 2016-05-19 | Applied Materials, Inc. | Radical assisted cure of dielectric films |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US11384432B2 (en) | 2015-04-22 | 2022-07-12 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
JP6560924B2 (ja) * | 2015-07-29 | 2019-08-14 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法及びプログラム |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
EP3184666B1 (en) * | 2015-12-23 | 2018-06-13 | Singulus Technologies AG | System and method for gas phase deposition |
KR20180094109A (ko) * | 2016-01-07 | 2018-08-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템 |
KR102194085B1 (ko) | 2016-04-26 | 2020-12-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 배출 퇴적물 제거를 위한 온도 제어식 원격 플라즈마 세정 |
US10622189B2 (en) * | 2016-05-11 | 2020-04-14 | Lam Research Corporation | Adjustable side gas plenum for edge rate control in a downstream reactor |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
CN108878241B (zh) * | 2017-05-10 | 2021-03-02 | 北京北方华创微电子装备有限公司 | 半导体设备和半导体设备的反应腔室的清理方法 |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
CN109868458B (zh) * | 2017-12-05 | 2021-12-17 | 北京北方华创微电子装备有限公司 | 一种半导体设备的清洗系统及清洗方法 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) * | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10751765B2 (en) | 2018-08-13 | 2020-08-25 | Applied Materials, Inc. | Remote plasma source cleaning nozzle for cleaning a gas distribution plate |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
CN111155072B (zh) * | 2018-11-08 | 2022-12-09 | 北京北方华创微电子装备有限公司 | 腔室用清洗装置及清洗方法、半导体处理设备 |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11776794B2 (en) | 2021-02-19 | 2023-10-03 | Applied Materials, Inc. | Electrostatic chuck assembly for cryogenic applications |
US20230307216A1 (en) * | 2022-03-25 | 2023-09-28 | Applied Materials, Inc. | Enhanced chamber clean and recovery with dual flow path |
Family Cites Families (44)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5158644A (en) * | 1986-12-19 | 1992-10-27 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US5015330A (en) * | 1989-02-28 | 1991-05-14 | Kabushiki Kaisha Toshiba | Film forming method and film forming device |
US5207836A (en) * | 1989-08-25 | 1993-05-04 | Applied Materials, Inc. | Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus |
GB9207424D0 (en) * | 1992-04-04 | 1992-05-20 | British Nuclear Fuels Plc | A process and an electrolytic cell for the production of fluorine |
US5366585A (en) * | 1993-01-28 | 1994-11-22 | Applied Materials, Inc. | Method and apparatus for protection of conductive surfaces in a plasma processing reactor |
ATE218655T1 (de) * | 1993-09-24 | 2002-06-15 | Csr Ltd | Verbesserungen bezüglich der rahmen einer schachtabdeckung |
US5454903A (en) * | 1993-10-29 | 1995-10-03 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization |
US5451784A (en) * | 1994-10-31 | 1995-09-19 | Applied Materials, Inc. | Composite diagnostic wafer for semiconductor wafer processing systems |
US5558717A (en) * | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
JP3400293B2 (ja) * | 1996-05-01 | 2003-04-28 | 株式会社東芝 | Cvd装置及びそのクリーニング方法 |
JP3217274B2 (ja) * | 1996-09-02 | 2001-10-09 | 株式会社日立製作所 | 表面波プラズマ処理装置 |
US5788778A (en) * | 1996-09-16 | 1998-08-04 | Applied Komatsu Technology, Inc. | Deposition chamber cleaning technique using a high power remote excitation source |
US5882411A (en) * | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
US5824375A (en) * | 1996-10-24 | 1998-10-20 | Applied Materials, Inc. | Decontamination of a plasma reactor using a plasma after a chamber clean |
US5844195A (en) * | 1996-11-18 | 1998-12-01 | Applied Materials, Inc. | Remote plasma source |
US6055927A (en) * | 1997-01-14 | 2000-05-02 | Applied Komatsu Technology, Inc. | Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology |
US6125859A (en) * | 1997-03-05 | 2000-10-03 | Applied Materials, Inc. | Method for improved cleaning of substrate processing systems |
US6026762A (en) * | 1997-04-23 | 2000-02-22 | Applied Materials, Inc. | Apparatus for improved remote microwave plasma source for use with substrate processing systems |
US6274058B1 (en) * | 1997-07-11 | 2001-08-14 | Applied Materials, Inc. | Remote plasma cleaning method for processing chambers |
US6379575B1 (en) * | 1997-10-21 | 2002-04-30 | Applied Materials, Inc. | Treatment of etching chambers using activated cleaning gas |
US6107192A (en) * | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
GB9904925D0 (en) * | 1999-03-04 | 1999-04-28 | Surface Tech Sys Ltd | Gas delivery system |
US6067999A (en) * | 1998-04-23 | 2000-05-30 | International Business Machines Corporation | Method for deposition tool cleaning |
US6176198B1 (en) * | 1998-11-02 | 2001-01-23 | Applied Materials, Inc. | Apparatus and method for depositing low K dielectric materials |
US6374831B1 (en) * | 1999-02-04 | 2002-04-23 | Applied Materials, Inc. | Accelerated plasma clean |
US6255222B1 (en) * | 1999-08-24 | 2001-07-03 | Applied Materials, Inc. | Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process |
JP3310957B2 (ja) * | 1999-08-31 | 2002-08-05 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR100338769B1 (ko) * | 1999-10-26 | 2002-05-30 | 윤종용 | 반도체 장치의 절연막 식각방법 |
KR100767762B1 (ko) * | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
US6772827B2 (en) * | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) * | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6432255B1 (en) * | 2000-01-31 | 2002-08-13 | Applied Materials, Inc. | Method and apparatus for enhancing chamber cleaning |
KR100467082B1 (ko) * | 2000-03-02 | 2005-01-24 | 주성엔지니어링(주) | 반도체소자 제조장치 및 그 클리닝방법 |
US6362110B1 (en) * | 2000-03-30 | 2002-03-26 | Lam Research Corporation | Enhanced resist strip in a dielectric etcher using downstream plasma |
US6383257B1 (en) * | 2000-04-04 | 2002-05-07 | Air Products And Chemicals, Inc. | Reclamation and separation of perfluorocarbons using condensation |
US6437197B1 (en) * | 2000-04-27 | 2002-08-20 | Shell Oil Company | Process for catalytic hydroxylation of aromatic hydrocarbons |
US6569257B1 (en) * | 2000-11-09 | 2003-05-27 | Applied Materials Inc. | Method for cleaning a process chamber |
US6634313B2 (en) * | 2001-02-13 | 2003-10-21 | Applied Materials, Inc. | High-frequency electrostatically shielded toroidal plasma and radical source |
US20020144706A1 (en) * | 2001-04-10 | 2002-10-10 | Davis Matthew F. | Remote plasma cleaning of pumpstack components of a reactor chamber |
US6868856B2 (en) * | 2001-07-13 | 2005-03-22 | Applied Materials, Inc. | Enhanced remote plasma cleaning |
US6902629B2 (en) * | 2002-04-12 | 2005-06-07 | Applied Materials, Inc. | Method for cleaning a process chamber |
US7008484B2 (en) * | 2002-05-06 | 2006-03-07 | Applied Materials Inc. | Method and apparatus for deposition of low dielectric constant materials |
US7270713B2 (en) * | 2003-01-07 | 2007-09-18 | Applied Materials, Inc. | Tunable gas distribution plate assembly |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
-
2005
- 2005-05-27 US US11/139,349 patent/US20060266288A1/en not_active Abandoned
-
2006
- 2006-04-27 TW TW095207198U patent/TWM307017U/zh not_active IP Right Cessation
- 2006-05-15 JP JP2006003622U patent/JP3123767U/ja not_active Expired - Fee Related
- 2006-05-26 CN CNU2006201176242U patent/CN200996046Y/zh not_active Expired - Lifetime
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2011517121A (ja) * | 2008-04-12 | 2011-05-26 | アプライド マテリアルズ インコーポレイテッド | プラズマ処理装置及び方法 |
JP2011525054A (ja) * | 2008-06-19 | 2011-09-08 | アプライド マテリアルズ インコーポレイテッド | 洗浄ガスをプロセスチャンバーに供給するための方法およびシステム |
US10094486B2 (en) | 2008-06-19 | 2018-10-09 | Applied Materials, Inc. | Method and system for supplying a cleaning gas into a process chamber |
JP2015532016A (ja) * | 2012-09-21 | 2015-11-05 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ラジカルの化学的性質の複数の流れ経路を使用した調節及び制御 |
CN111463125A (zh) * | 2012-09-21 | 2020-07-28 | 应用材料公司 | 使用多个流动途径的自由基化学调制及控制 |
JP2020184639A (ja) * | 2016-04-11 | 2020-11-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ウエハガス放出のためのプラズマエンハンストアニールチャンバ |
JP7358301B2 (ja) | 2016-04-11 | 2023-10-10 | アプライド マテリアルズ インコーポレイテッド | ウエハガス放出のためのプラズマエンハンストアニールチャンバ |
Also Published As
Publication number | Publication date |
---|---|
US20060266288A1 (en) | 2006-11-30 |
CN200996046Y (zh) | 2007-12-26 |
TWM307017U (en) | 2007-03-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP3123767U (ja) | 遠隔プラズマ洗浄のための高いプラズマ用途 | |
US10998187B2 (en) | Selective deposition with atomic layer etch reset | |
US10465294B2 (en) | Oxide and metal removal | |
JP7079686B2 (ja) | 成膜方法及び成膜装置 | |
KR101753736B1 (ko) | 처리 장치 및 성막 방법 | |
US8801952B1 (en) | Conformal oxide dry etch | |
JP5931741B2 (ja) | シリコン含有膜の平滑SiConiエッチング | |
KR101701024B1 (ko) | 컨포멀한 알루미늄 질화물을 위한 고 성장 레이트 프로세스 | |
US8741778B2 (en) | Uniform dry etch in two stages | |
TWI443714B (zh) | 成膜裝置及使用其之方法 | |
US8211808B2 (en) | Silicon-selective dry etch for carbon-containing films | |
KR100855597B1 (ko) | 육불화황 원격 플라즈마 소스 세정 | |
US20150345029A1 (en) | Metal removal | |
US20090056626A1 (en) | Apparatus for cyclical depositing of thin films | |
CN112259457B (zh) | 等离子体蚀刻方法、等离子体蚀刻装置和基板载置台 | |
JP2019125685A (ja) | プラズマ処理装置の部品のクリーニング方法 | |
TWI789450B (zh) | 電漿處理裝置 | |
US7581550B2 (en) | Method of cleaning reaction chamber using substrate having catalyst layer thereon | |
TW202018817A (zh) | 在硬遮罩膜上的ALD SiO2沉積中之碳損失的最小化 | |
KR200429542Y1 (ko) | 평면 패널 디스플레이 기판 처리용 플라즈마 처리 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20100705 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110705 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110705 Year of fee payment: 5 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: R3D02 |
|
LAPS | Cancellation because of no payment of annual fees |