CN1979787A - 半导体器件及其形成方法 - Google Patents

半导体器件及其形成方法 Download PDF

Info

Publication number
CN1979787A
CN1979787A CN200610142730.0A CN200610142730A CN1979787A CN 1979787 A CN1979787 A CN 1979787A CN 200610142730 A CN200610142730 A CN 200610142730A CN 1979787 A CN1979787 A CN 1979787A
Authority
CN
China
Prior art keywords
carbon doping
layer
sige layer
doping sige
atom
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200610142730.0A
Other languages
English (en)
Other versions
CN1979787B (zh
Inventor
刘金平
贾德森·R·霍尔特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
International Business Machines Corp
Original Assignee
Chartered Semiconductor Manufacturing Pte Ltd
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chartered Semiconductor Manufacturing Pte Ltd, International Business Machines Corp filed Critical Chartered Semiconductor Manufacturing Pte Ltd
Publication of CN1979787A publication Critical patent/CN1979787A/zh
Application granted granted Critical
Publication of CN1979787B publication Critical patent/CN1979787B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本发明的一些示范性实施例包括用于半导体结构的方法和半导体结构,该结构包括:包括源/漏区、栅介质、栅极、沟道区的MOS晶体管;碳掺杂SiGe区,其将应力施加在沟道区上,从而在随后的热处理之后碳掺杂SiGe区保持在沟道区上的应力/应变。

Description

半导体器件及其形成方法
相关申请的交叉引用
本专利申请是正规专利申请(非临时的),其依据35 U.S.C.199(e)要求至少以下US临时专利申请的优先权:
SN 60/732,354、申请日为2005年10月31日、名称为在用于器件应用的SiGe外延期间通过原位C掺杂控制注入损伤、第一发明人为Jin Ping Liu,Singapore SG,atty docket ICIS-0165-PSP,确认号码为:5534的申请;在此出于各种目的通过参考将其并入本申请。
背景技术
1)本发明的领域
本发明的一些示范性实施例涉及具有晶格失配区的半导体器件及其制造方法,尤其涉及一种应变沟道(strained-channel)晶体管结构及其制造方法,更特别地,涉及包括碳掺杂SiGe层的应变沟道晶体管结构以及其制造方法。
2)现有技术的描述
在过去几十年中,金属氧化物半导体场效应晶体管(MOSFET)的尺寸缩小、包括栅长度和栅氧厚度的缩小已经使集成电路的速度性能、密度和每单位功能的成本持续得到提高。
为了进一步提高晶体管的性能,可将应力/应变引入到晶体管沟道中,从而除了器件尺寸之外,还改善载流子迁移率以提高晶体管性能。存在将应变引入到晶体管沟道区中的几种已有方式。
US6844227:半导体器件及其制造方法-发明人:Kubo,Minoru;Mie,Japan
US20040262694A1:在邻近于MDD的凹槽中含有碳掺杂的硅、以在沟道中产生应变的晶体管器件,发明人:Chidambaram,PR
US6190975:形成具有硅锗碳化合物半导体层的HCMOS器件的方法,发明人:Kubo,Minoru;Mie,Japan
US6576535:用于高速CB-CMOS的碳掺杂外延层,发明人:Drobny,Vladimir F;
US6,190,975和US20020011617A1:半导体器件及其制造方法,发明人:KUBO,MINORU;MIE,Japan
US20050035369A1:利用应变沟道晶体管形成集成电路的结构和方法,发明人:Lin,Chun-Chieh;Hsin-Chu,Taiwan。
然而,需要根据这些方法进行改进。
发明内容
本发明的一些示范性实施例包括半导体结构,其包括:
衬底上的MOS晶体管,该MOS晶体管包括源/漏区,栅介质,栅极,沟道区;
碳掺杂SiGe区,其将应力施加在沟道区上,从而在随后的热处理期间碳掺杂SiGe区将应力保持在沟道区上。
用于形成半导体器件的第一示范性方法实施例包括步骤:
在衬底上方提供栅;
在衬底中与栅相邻地蚀刻S/D凹槽;
用碳掺杂SiGe层至少部分填充S/D凹槽;
至少部分在碳掺杂SiGe层中形成大致与栅相邻的源和漏区;
从而碳掺杂SiGe层将单轴向应变施于栅下方的沟道区上。
用于形成半导体器件的第二示范性方法实施例包括步骤:
在衬底上方提供栅介电层和栅;衬底包括硅;
在衬底中与栅相邻地蚀刻S/D凹槽;
用碳掺杂SiGe层部分填充S/D凹槽;
在碳掺杂SiGe层上方形成顶部S/D含Si层;
至少部分在顶部S/D含Si层中形成源和漏区,从而碳掺杂SiGe层将单轴向应变施于栅下方的沟道区上。
用于形成半导体器件的第三示范性方法实施例包括步骤:
在衬底上方形成碳掺杂SiGe层;该衬底包括硅;
在碳掺杂SiGe层上方形成顶部硅层;
在顶部硅层上方形成栅介质层、栅;
在衬底中与栅相邻地蚀刻S/D凹槽;
用含硅层至少部分填充S/D凹槽;
在至少部分含硅层中形成源和漏区;
从而碳掺杂SiGe层将单轴向应变施于栅下方的沟道区上。
用于形成半导体器件的第四示范性方法实施例包括步骤:
在衬底上方形成中心碳掺杂SiGe层;该衬底包括硅;
在中心碳掺杂SiGe层上方形成顶部硅层;
在顶部硅层上方形成栅介质层和栅极;
在衬底中与栅相邻地蚀刻S/D凹槽;以及
用S/D碳掺杂SiGe层至少部分填充S/D凹槽。
至少部分在S/D碳掺杂SiGe层中与栅相邻地形成源和漏区。
所有示范性实施例的一方面是其中中心碳掺杂SiGe层具有在68.8%至84.9%之间的Si原子%;
在15至30%之间的Ge原子%;
在0.1至0.2%之间的C原子%。
所有示范性实施例的一方面是中心碳掺杂SiGe层具有可在约1E19至1E20原子/cc之间的C浓度。
所有示范性实施例的一方面还包括:在形成碳掺杂SiGe层之后,在超过400℃的温度下对衬底进行退火。
实施例的主要优点在于,在大高400℃的热处理之后且尤其在高于900℃的热处理之后,SiGeC应激区(stressor region)将其应力保持在沟道区上。
上述和下述的优点和特征代表实施例,且其并不详尽和/或排他。描述它们其仅帮助理解本发明。应当理解,其并不代表由权利要求所限定的所有本发明,不应认为是对如由权利要求所限定的本发明的范围进行限制,或者对权利要求等价物进行限定。例如,这些优点中的一些可能相互矛盾,因为其不能同时出现在单个实施例中。相似地,一些优点可应用于本发明的一个方面,但不可应用于其它方面。而且,所要求的发明的一些方面在此没有讨论。然而,除非为了空间和减少重复的目的,相对于未在此讨论的那些,关于在此讨论的那些不再进行推论。由此,在确定等价物方面将不认为特征和优点的该说明是决定性的。根据附图和权利要求书,本发明其它的特征和优点在以下描述中将变得明显。
附图说明
根据以下描述,结合附图,将更清楚地理解根据本发明的半导体器件的特征和优点以及根据本发明制造这种半导体器件的进一步工艺细节,附图中,相同的参考标号表示相似或相应的元素、区域和部分,附图中:
图1A至1E是示出根据本发明第一示范性实施例半导体器件的结构和制造方法的截面图。
图2A至2E是示出根据本发明第二示范性实施例的半导体器件制造方法的截面图。
图3A至3F是示出根据本发明第三示范性实施例的半导体器件制造方法的截面图。
图4A至4B是示出根据本发明第四示范性实施例的半导体器件制造方法的截面图。
图5A示出了a)在生长时,c)在B注入和高温退火之后,没有C原位掺杂(控制单元)的SiGe层的摇摆曲线;在b)生长时,和d)在B注入和高温退火之后,具有C原位掺杂(本发明)的SiGe层的摇摆曲线。
图5B包含在a)/c)不具有和b)/d)具有C原位掺杂(发明的单元)的,在B注入和高温退火之后的SiGe层TEM图像的截面/平面图。
图5C包含在a)不具有和b)具有C原位掺杂(本发明的单元)的,在As注入和高温退火之后的SiGe层TEM图像的截面图。
图6A是示出包括示范性实施例的C掺杂SiGe层的试验结果的表格。
图6B是包括示范性实施例的C掺杂SiGe层的某些试验结果的图表。
具体实施方式
概述
本发明的非限制性示范实施例形成碳掺杂SiGe应激层(SiGeC),其在离子注入和/或热循环之后保持其应变。碳掺杂SiGe应激层可用在MOS晶体管中以将应力施于MOS沟道区上,从而提高晶体管性能。实施例的碳掺杂SiGe应激层(SiGeC)也可降低相邻、附近或交叠掺杂区的离子注入损伤。优选地,使用外延工艺形成碳掺杂SiGe应激层。SiGeC区可将单轴向应变施于MOS沟道区上。一些示范性实施例的要点在于即使在随后的如超过400℃尤其是超过900℃的热循环之后,SiGe层中的C也能使SiGeC层保持衬底上的应力。本发明人已经发现SiGe在热循环/退火之后损失了其应力。即使在400℃和1300℃之间、更优选在900和1090℃之间、再优选地在超过900℃的温度下退火,实施例中的碳掺杂SiGe应激层中的C仍有助于SiGeC层保持应力。
以下描述四个示范性实施例。
术语
SiGeC意思是碳掺杂硅锗(例如,Si1-x-yGexCy)(硅锗碳合金)。
结深或掺杂区深度-限定为从n和p浓度大致相等的衬底表面开始的深度。概略地,相等的结(drawn junction)表示n型和p型掺杂剂相等的边界。这些可通过调整不同注入能量、剂量和种的类型中任一种的注入曲线(implant profile)来调整。总之,在图中,掺杂区的结深对应于约1E17原子/cc的掺杂剂浓度。
SDE-源漏延伸
I.第一实施例,SiGeC区中具有S/D区的PMOS FET-图1D
示范性实施例包括具有一个或多个掺杂源/漏区的PMOS晶体管,该一个或多个掺杂源/漏区至少部分由SiGeC区构成。SiGeC区可有效地将单轴向压缩应变施于PMOS沟道上。
在图1D中示出了第一示范性实施例。
图1D示出了在衬底10中与PMOS晶体管50P的栅结构20、22相邻的具有碳掺杂SiGe区36的衬底。PMOS晶体管50P可包括栅介质、栅、间隙壁、源/漏(S/D)区和源漏延伸(SDE)区。晶体管可进一步包括其它元素如大角度晕环(Halo)或袋状注入(未示出)。
图1C示出了可将源/漏区40全部形成在碳掺杂SiGe区36中。源/漏区40可通过在衬底中注入p掺杂剂如B或BF2来形成。注入可产生射程末端缺陷(EOR缺陷)或其它缺陷44,如图1C中所示。
SiGeC层36优选大致具有以下浓度:
Si原子%在68.8%和84.9%之间(tgt=74.85)
Ge原子%在15和30%之间(target=25%)
C原子%在0.1和0.2%之间(target=0.15%)
在另一测量单元中,C浓度可大致在1E19和1E20原子/cc之间。
在所有实施例中,SiGeC层可具有这些浓度和浓度曲线(例如PMOS和NMOS)。
SiGeC层36可具有大致恒定的C浓度,或可具有随着深度在约0.1和0.1之间变化的C浓度。
碳掺杂SiGe层优选地通过选择性外延工艺如LPCVD工艺形成。
SiGe区减少来自S/D离子注入(I/I)和任一其它注入如大角度晕环注入或袋状注入(未示出)的缺陷。
A.第一实施例-示范性方法-C掺杂SiGe S/D填充区
用于第一示范性实施例的非限制性示范方法在图1A至1E中示出。应当理解,存在可选方法以形成第一示范性实施例且该实例不限制该实施例。
图1A
图1A示出了衬底10上方的栅结构20、22、24的截面图。在该非限制性实例中,Tx是PMOS 50P。
栅结构可包括栅介质20、栅极22和间隙壁24。MOS晶体管50P可包括栅结构20、22、24、衬底10中的在栅极22和栅介质20下方的沟道区。
衬底10可以是硅或SOI衬底。上部衬底表面优选由Si构成并可具有(100)、(110)或(111)晶向或其它晶向,并优选为(100)晶向。
绝缘区18可形成于衬底中,并能够将PMOS区11和NMOS区12分开(见图1E)。
在形成间隙壁24之前,可在衬底中与栅相邻地形成LDD区(或SDE)26。
在衬底10中与栅结构相邻地蚀刻S/D沟槽30。可使用沟槽抗蚀剂掩模28和栅结构以及绝缘区作为蚀刻掩模。在形成沟槽之后移除抗蚀剂掩模。沟槽可具有700至2000埃之间的深度。
图1B
如图B1中所示,形成至少部分填充S/D凹槽/沟槽30的SiGeC层36。SiGeC层36优选通过可以至少填充S/D凹槽/沟槽30的选择性外延工艺形成。
图1C
如图1C中所示,优选地注入掺杂剂离子以形成源和漏(S/D)区40。对于该PMOS实例,离子是p型的如B或BF2
S/D注入可在S/D区40的底部和下方附近形成射程末端(EOR)缺陷(和其它缺陷)44。
优选地SiGeC区36的S/D注入具有至少2.0至3.0Rp(注入范围(proiected range))的较低深度。该深度有助于确保缺陷44几乎都包含在可减小缺陷的SiGeC区36中。
图1D
图1D示出了在退火之后的结构。缺陷可通过SiGeC层36来减小。尤其可通过SiGeC层中的C来减小该缺陷。SiGeC层优选地将单轴向收缩应变(C)施于沟道区上。
在所有热处理之后,SiGeC层优选地具有在源和漏区底部下方的深度,并优选地源和漏区基本上包含在SiGeC层36中。
即使在400℃至1300℃之间和更优选在900和1090℃之间的温度下退火,在SiGeC层中实施例的碳(C)也会有助于SiGeC层保持应力。
图1E
图1E示出了形成于衬底上的PMOS TX 50P和NMOS Tx 50N的截面图。SiGeC层36仅形成在PMOS区11中。SiGeC区36将收缩应力施于PMOS沟道上,从而改善PMOS性能。在PMOS区12中的S/D凹槽蚀刻期间可将NMOS区12掩蔽。
NMOS Tx 50N可包括:栅介质20N、栅22N、间隙壁24N、LDD区26N、大角度晕环区78N、S/D区40N和P阱14。
优选地,在所有退火之后(最终产品阶段),SiGeC区36具有一深度,该深度比源和漏区40(从顶部SiGeC表面测量)的最终总深度深至少1%并更优选地为至少10%更优选地比源和漏区40(从顶部SiGeC表面测量)的最终总深度深10%至20%之间。
B.单轴向应变
在一些实施例中,由于SiGeC区设置成仅在从源到漏的方向上与栅相邻(不沿着沟道长度),因此SiGeC区有效地将单轴向应力施加在沟道上。
例如,在图1D中示出的该实施例中,SiGeC层36将收缩应力/应变(C)施于栅22下方的PMOS沟道区上,由此改善空穴迁移率以及PMOS晶体管性能。由于SiGe的晶格常数比Si的大,因此两个SiGe源/漏之间的沟道区置于收缩应力(C)下。
II.第二示范性实施例-在S/D凹槽中的SiGeC和顶部Si层
第二示范性实施例包括具有在源/漏(S/D)区下方并与其分离的SiGeC区的NMOS晶体管。SiGeC区至少将拉伸应变施于NMOS沟道上。S/D区优选地基本上在SiGeC区上方的含硅层中。
参照图2E,SiGeC区237N形成于与NMOS栅结构20N、22N相邻的S/D凹槽230N(见图2C)中。
顶部S/D硅层238N形成于SiGeC区237N上方。硅层基本上包括硅。硅层可掺杂有N型掺杂剂或不掺杂。
S/D区240N至少部分地形成在硅层238N中并可以至少部分地形成在SiGeC区237N中。
SiGeC区237N优选将单轴向拉伸应力施加在NMOS沟道区上。
SiGeC区237N有助于减少来自S/DI/I的缺陷。
此外,SiGeC区237N中的碳(C)有助于SiGeC区237N在随后的处理如S/D退火期间保持其应力。
A.单轴向应变
由于将SiGeC区237N设置成仅在从源到漏的方向上(不沿着沟道长度)与栅相邻,因此SiGeC区实际上将单轴向应力施加在沟道上。这明显不是双轴向应变器件。
例如在图2E中示出的该实施例中,SiGeC层237N将拉伸应力/应变(T)施于NMOS沟道区上,由此改善了电子迁移率以及NMOS晶体管性能。
B.第二实施例的示范性方法
用于第二示范性实施例的非限制性的示范方法在图2A至2E中示出。应当理解,存在可选方法以形成第二示范性实施例且该实例不限制该实施例。
图2A
图2A示出了具有NMOS区11和PMOS区12的衬底10。NMOS栅结构20N、22N、26N形成于NMOS区12上方。NMOS栅结构可包括NMOS介电层20N、NMOS栅22N和NMOS间隙壁24N。
PMOS栅结构20、22、24形成于PMOS区11上方。PMOS栅结构可包括PMOS介电层20、PMOS栅22和NMOS间隙壁24。
PMOS区11可包括可选的N阱13。NMOS区12可包括可选的P阱14。
图2B
图2B示出了与N栅结构相邻地形成的N-S/D凹槽230N。抗蚀剂层228可以覆盖PMOS区11或在NMOS区12上方具有开口。在凹槽蚀刻之后移除抗蚀剂层。沟槽可具有700至2000埃之间的深度。
图2C
图2C示出了形成为至少部分地填充N-S/D凹槽的NMOS S/DSiGeC层237N。S/D SiGeC层237N可使用选择性外延工艺形成。S/DSiGeC层237N可具有与上面在第一实施例中所讨论的相同的浓度。
图2D
图2D示出了包括在SiGeC层237N上方形成的S/D层238N的顶部NMOS Si。顶部N-Si S/D层238N优选地基本上包括结晶硅。
顶部N-Si S/D层238N可具有500至1000埃之间的厚度。
N-S/D SiGeC层237N可具有200至1000埃之间的厚度。
SiGeC层237N优选地将拉伸应力(T)施于NMOS沟道上,例如,粗略地大致在SDE区之间。
SiGeC层237N优选地具有以下浓度:
Si原子%在68.8%和84.9%之间(tgt=74.85)
Ge原子%在15和30%之间(target=25%)
C原子%在0.1和0.2%之间(target=0.15%)
图2E
图2E示出了至少部分地在含硅层238N中与栅结构相邻地形成的S/D区240N。S/D区240优选地通过注入工艺形成。优选地,由于S/D注入(退火之前)的EOR区位于SiGeC附近,因此可以通过SiGeC区减少EOR缺陷。
S/D区240N至少部分地形成在硅层238N中并可能至少部分地形成在SiGeC区237N中。
在可选方案中,在所有退火步骤之后,S/D区240N可基本上包含在含Si层238N内。在另一可选方案中,在所有退火步骤之后,S/D区240N基本上包含在含Si层238N和SiGeC层中。
SiGeC区237N优选地将单轴向拉伸应力施于NMOS沟道区上。
SiGeC区237N有助于减少来自S/DI/I的缺陷。
此外,SiGeC区237N中的碳(C)有助于SiGeC区237N在随后的工艺如S/D或硅化物退火期间保持其应力。
III.第三示范性实施例-在MOS tx沟道区下方的SiGeC区
图3E和3F示出了包括在MOS tx沟道区下方的SiGeC区的示范性实施例。SiGeC区将应力施于MOS晶体管的沟道区上。在该实施例中,S/D区优选地形成于硅层中而不是SiGeC中。根据SiGeC层中的结构,可以将单轴向收缩或拉伸应力施于沟道区上。
A.用于第三示范性实施例的方法
第三实施例的非限制性的示范性方法在图3A至图3E中示出。应当理解,存在可选方法以形成第三示范性实施例,且该实例不限制该实施例。
根据器件几何形状,可以将应力调整为主要是单轴向或双轴向。通过调整应力张量(例如收缩或拉伸),可使用用于N或P MOS或两者的膜。
如图3A中所示,提供具有至少限定了PMOS区11和NMOS区12的间隔绝缘区18的半导体衬底10。
如图3B中所示,回蚀刻含硅衬底10表面以形成应激物凹槽15、16。应激物凹槽可具有500至1500埃之间的深度。可将隔离区18用作蚀刻掩模。
如图3C中所示,可在衬底表面上方选择性地形成SiGeC层301、301N。PMOS SiGeC层301和NMOS SiGeC层301N可在2个分开的步骤中形成,以使其组成不同。可以覆盖不需要SiGeC的区域。即可调整SiGeC层301、301N以使其对于正在形成的器件类型(PMOS或NMOS)具有适当的收缩和拉伸应力。
SiGeC层优选地具有50至100nm(5000至1000埃)之间的厚度。在SIGE C层301、301N中的Si&Ge&C浓度与在上面讨论的其它实施例中的相同。
接下来,在SiGeC层301、301N上方形成上部沟道含Si层303、303N。含Si层303、303N优选地具有20至50nm(200至500埃)之间的厚度。含硅层303、303N基本上由结晶硅制成。沟道区优选地至少部分地在上部沟道含Si层303、303N中。一方面,沟道区全部在上部沟道含Si层303、303N中。
任一时间都可形成可选的N阱13。也可在任一时间形成可选的P阱(未示出)。
参考图3D,在硅层303、303N、SiGeC区301、301N以及可能在衬底10中形成S/D凹槽310、310N。
参考图3E,用硅持续(silicon continuing)材料320、320N且优选地基本上用Si或结晶Si填充PMOS S/D凹槽310和NMOS S/D凹槽310N。一方面,PMOS S/D凹槽301填充有与NMOS S/D凹槽不同的材料。另一方面,PMOS S/D凹槽301和NMOS S/D凹槽301N中的任一个或两个都至少部分填充有SiGe或SiGeC。一方面,Si材料包括2层,底部SiGeC层和顶部Si层。这可允许进一步修整NMOS和PMOS区的应力、迁移率和性能。
参考图3F,在硅区、SiGeC区和衬底的某些组合中进行S/D注入以形成PMOS S/D区40。
S/D注入包括将硼、Bf2、As、P或Sb离子注入到衬底中。
可以与NMOS栅相邻地形成NMOS S/D区40N。
接下来,进行大角度晕环注入以形成NMOS大角度晕环区28N和PMOS大角度晕环区28。可使用掩模步骤(未示出)以掩蔽适当区域。
一方面,整个LDD(或SDE)区26包含在上部沟道含Si层303、303N以及硅持续材料320、320N中。
在该实例中,NFET形成于NMOS区12中,且PFET形成在PMOS区11中。存在其它组合。实施例的SiGeC可仅形成在NMOS区中,且PMOS区可以是标准器件或本公开中其他实施例中的任一种。
示范性实施例的非限制性评述-沟道下方的SiGeC层
PMOS或NMOS沟道下方的SiGeC层301、301N提供来自注入掺杂区(例如,SDE和S/D和大角度晕环)的缺陷的聚集并将应力保持在自SiGeC区301、301N的沟道上。
根据器件几何形状,将应力调整为主要为单轴向或双轴向。通过调整应力张量(SiGeC区),可使用NMOS或PMOS器件中任一个或两个的资料(file)。
根据SiGeC应激物分量和几何形状,PMOS SiGeC层303可将收缩应力施于PMOS沟道上。根据SiGeC应激物分量和几何形状,NMOS SiGeC层303N可将拉伸应力施于NMOS沟道上。
在任何其他使用本领域技术人员公知工艺中可以进行其它步骤以形成完整的器件。
IV.第四示范性实施例
在图4A和图4B中示出的第四示范性实施例中,在MOS晶体管沟道下方形成第一(或中心)SiGeC或SiGe层303、303N。第二SiGe或SiGeC层420、420N形成于S/D凹槽410、410N中。第二(或中心)SiGeC或SiGe层(或S/D SiGe或S/D SiGe C层)420、420N可以在掺杂S/D区下方,包含在S/D区中,或部分与S/D区交叠或上述的任一组合。优选地,S/D SiGE或S/D SiGe C层420、420N填充整个S/D凹槽410、410N并在S/D区下方延伸。
第四实施例的示范性方法如上对于第三实施例所述并如图3A至图3C中所示出地开始。注意,PMOS SiGeC层301和NMOS SiGeC层301N可在2个分离的步骤中形成,以使其组成不同。接下来,参考图4A,与栅结构相邻地蚀刻S/D凹槽410、410N。
参考图4B,至少部分地用SiGeC或SiGe层420、420N填充S/D凹槽410、410N。图4B示出了SiGeC基本上填充了S/D凹槽的方面。PMOS S/D凹槽410和NMOS S/D凹槽410N可用SiGeC或SiGe在分离的步骤中进行填充以使第二(或中心或SD)SiGeC或SiGe层420和420N可具有不同的组成。第二(或中心或S/D)SiGeC或SiGe层420和420N可具有不同的组成,该不同组成在各自沟道中产生不同量和类型(收缩或拉伸)的应力。
接下来,例如可通过形成S/D区40、40N和大角度晕环注入(未示出)来完成器件。
根据器件的几何形状,将应力调整为主要为单轴向或双轴向。通过调整应力张量,可使用N或P MOS或两者的膜。
对于PMOS Tx,S/D SiGeC 420和沟道SiGeC 301可将收缩应力施于PMOS沟道上。
对于NMOS Tx,S/D SiGeC 420N和沟道SiGeC 301N将拉伸应力施于NMOS沟道上。
第四实施例的另一可能方面在图2E中示出,图2E中在第二SiGeC区237N上方形成部分填充S/D凹槽和硅层240N的SiGeC层237N。该方面可用在NMOS晶体管中。
A.实例
原位C掺杂对注入损伤的影响和Si上外延SiGe层的应变释放
在该实例中,研究Si(001)上薄外延SiGe层中的注入损伤和应变释放以及其与在外延SiGe中原位C掺杂的相关性。对于具有25%Ge的65nm的SiGe层,用于p-MOS S/D、大角度晕环和延伸的常规注入导致明显的注入损伤和应变释放。观测到两个缺陷带,一个接近表面,另一个在SiGe/Si界面。发现原位C掺杂(1019-20/cm3)可以消除接近SiGe/Si界面区域的注入损伤并防止明显的应变释放。
在此,研究Si(001)衬底上的薄外延SiGe膜(以下称作SiGe)和原位C掺杂SiGe膜(以下称作SiGeC)中的注入损伤和应变释放。示出了对于具有25%Ge的65nm的SiGe层,用于p-MOS S/D、大角度晕环和延伸的常规注入导致明显的注入损伤和应变释放。观测到两个缺陷带:一个接近于表面,另一个在SiGe/Si界面。发现原位C掺杂(1019-20/cm3)可以消除接近SiGe/Si界面区域的注入损伤并防止明显的应变释放。
在商业上可获得的LPCVD系统上进行外延SiGe和SiGeC生长。在另外指出的相同条件下将额外C前体用于原位C掺杂。在外延生长之后,使用P-MOS S/D、大角度晕环和延伸的典型条件注入晶片。在此列出两种不同注入的典型结果:1)以几KeV的能量和约1015/cm2的剂量进行B注入,和2)以几十KeV的能量和1013/cm2的剂量进行As注入。在注入之后,在高温(>1000℃)下对晶片进行快速热退火。应变释放和注入损伤特征在于高分辨率XRD和截面TEM。图5A示出了在(a)生长的SiGe层、(b)生长的SiGeC和(c)B注入和退火的SiGe以及(d)B注入和退火的SiGeC的XRD结果。在生长的膜(图5A(a)和(b))中可看出限定良好的厚度边缘,表示几乎没有应变释放和光滑界面。使用商业可获得的软件拟合图5A(a)中SiGe层的摇摆曲线给出了24.3%的Ge组分和65nm的厚度。假设与SiGe层中的Ge组分相同,则通过拟合图5A(a)并使用C含量和晶格常数之间的非线性关系把取代的C组分确定为0.07%。该结果接近SIMS数据,该SIMS数据表示~100%的代替物。在B注入和退火之后,SiGe层的(004)峰位置移向较低角度且厚度边缘消失,表示明显的应变释放。(224)反射示出宽峰,与(004)反射结果相符合。在退火期间没有明显的Ge相互扩散(AES结果未示出),即,SiGe层中的Ge组分没有变化,根据图5(a)和(c)中示出的(004)峰移动计算出~70%的应变释放。与SiGe层相比,SiGeC层示出了较小角度的较少(004)峰值移动,且(224)反射示出了宽峰上方的顶点(图1(d))。如果根据(004)峰移动来确定的话,则该应变释放等级为~13%。然而,在(224)反射中的顶点(图5A(d),实线)对应于全部相关的SiGe层,表示接近0%的应变释放。通过由图5B中示出的TEM结果揭示的外延膜的微结构来解释该明显矛盾。
对于注入的SiGe层,观测到两个缺陷带(图5B(a)),一个接近表面,另一个在SiGe/Si界面。在表面带中的缺陷是堆垛层错四面体型,而界面处稠密阵列为失配位错。平面图TEM中的(图5B(c))主要特征是莫尔条纹,其混淆了表面带或界面带任一个中的缺陷的任何对比,见图5B(a)。与SiGe层中不同,SiGeC膜仅显示出表面处的稠密缺陷带(图5B(b))。排序良好的失配位错阵列存在于SiGeC/Si界面处(图5B(d))。该阵列为低密度,以在任意TEM截面中不会看到失配(图5B(b))。
现在提出对图5A中示出的应变释放特性的说明。TEM结果(图5B)示出了除了通常在SiGe/Si异质外延系统中引起释放的界面缺陷之外,还存在可减轻外延层顶部部分中应变的表面缺陷。可从稍微不对称的(004)峰和与宽峰以及(224)反射(图1(d))中的顶点看出横跨外延层深度的该非均匀应变释放。根据SiGeC层(图5B(d))中的这些失配位错的间隔,将由界面缺陷引起的应变释放等级确定为~1%,与图5A(d)中观测到的顶点(224)的位置相符。由此,图5A(d)中的(004)峰移动几乎来自估计为~12%的其它应变释放,其来自表面缺陷。由于在SiGe和SiGeC层之间观测到的表面缺陷的相似性,因此可假设通过表面缺陷引起~12%的相同应变释放等级。与对于SiGe外延层的~70%的整体应变释放相比,这相对较小。由此在我们的(004)和(224)反射中不能清楚地分辨沿着SiGe层中深度的非均匀应变释放。
非常有趣,在用于更多损伤的As注入的SiGe和SiGeC层中的注入损伤和应变释放上,我们发现非常相似的结果。对于SiGeC,与可以从图5C中示出的TEM结果看出的一样,存在表面缺陷层,其由于较深的注入范围而较厚。界面仍是干净的而没有很多缺陷,这指示了界面处的小应变释放等级与由XRD结果(未示出)确定的~9%应变释放相符。然而,对于SiGe层,膜横跨其深度变得有很多缺陷。看起来表面缺陷带与界面缺陷带连接,如在较早讨论的B注入情况中所观测到的一样。缺陷稠密阵列导致由XRD(未示出)确定的SiGe层中85%的应变释放。
假设由在SiGe层和Si衬底中的注入产生的位错环可移向SiGe/Si界面,并形成失配位错,导致比在Si上生长的SiGe层更多的应变释放,而无需在相同热预算下的注入。该假设与我们对于注入的SiGe外延层在此观测到的高应变释放等级相符,并与我们在只进行了高温退火的Si上的相似SiGe外延层中发现少量应变释放的事实相符。已经示出非常少量的C可以消除对于Si中注入的EOR缺陷,这归因于用作Si间隙宿的C原子。相似的机理似乎可用于外延SiGe层的操作。抑制EOR缺陷制约了这种薄SiGe层的失配位错成核,并由此防止应变释放。然而,在表面附近,缺陷仍与SiGe层中一样地形成,这可能是由于Si间隙宿的无效或过多的置换的Si,这是由于所使用的浅注入条件造成的。
总之,我们已经示出,对于具有~25%Ge的65nm的SiGe层,用于p-MOS S/D、大角度晕环和延伸的常规注入导致明显的注入损伤和应变释放。观测到两种缺陷带:一种接近表面,另一种在SiGe/Si界面。表面缺陷导致外延层顶部部分中的其它应变释放。发现原位C掺杂(1E19至1E20/cm3)可以消除接近SiGe/Si界面区域的注入损伤,并防止明显的应变释放。
B.实例2-C有助于SiGe在退火之后保持应力
使用以下流程制备测试晶片:
·生长具有C浓度约为1.5原子%(1E19至1E20/cm3)的SiGe(C)外延
·注入
·在高于1000℃的T下的RAT
·(在每一步骤之后进行的应力测试)
图6A示出了表1、2、3和4中的结果。
图6B示出了所保持的应力百分比与用于4I/I条件的C的图。
我们可以从图6A和图6B得出的一些结论。
1)C有助于SiGe对于所有4种注入条件保持应力
2)As注入对应力损失产生最差的影响,然后是B S/D注入,然后是BF2注入。
3)注入引入的损伤似乎是对应力损失的主要贡献,尽管膜厚度可稍厚于临界厚度。
CN=0.5sccm是约为3E19原子/cc的SiGeC层中大致的C浓度
CN=1.2sccm是约为7E19原子/cc的SiGeC层中大致的C浓度。
C.非限制性示范性实施例
示范性实施例可以与引入技术如应力记忆、双应力层(例如,SiN帽盖应力层)、金属栅、STI应激物等的其它应力或应变相结合。
栅结构的其它配置可以用于所有实施例。
仅描述了给出的本发明各实施例,上述描述和说明示出了由权利要求限定的本发明的范围,并且并不对该范围构成限制。
虽然本发明已经特别示出,并参考其优选实施例进行了描述,但本领域技术人员将理解,可作出形式和细节的各种改变,而不脱离本发明的精神和范围。其旨在覆盖各种修改和相似的设置和工序,且附属的权利要求的范围因此应根据最宽的解释,以包括所有这种修改以及相似的设置和工序。

Claims (36)

1.一种用于形成半导体器件的方法,包括步骤:
在半导体衬底中或在半导体衬底上至少形成碳掺杂SiGe区;
在衬底上形成MOS晶体管;所述MOS晶体管包括源和漏区,栅介质,栅极和在所述栅极下方的沟道区;
在形成所述碳掺杂SiGe层之后;在超过400℃的温度下对所述衬底进行退火;
从而所述碳掺杂SiGe区将应力施加在沟道区上,并从而在该退火之后,所述碳掺杂SiGe区将更多应力保持在沟道区上。
2.如权利要求1的方法,其中所述碳掺杂SiGe层在所述衬底中与所述栅极相邻,所述碳掺杂SiGe层不直接在所述栅极下方;
所述源和漏区至少部分地形成在所述碳掺杂SiGe层中。
3.如权利要求1的方法,其进一步包括:
在所述衬底中与所述栅极相邻地形成该碳掺杂SiGe区;
在所述碳掺杂SiGe区上方形成顶部S/D含硅层;所述顶部S/D含硅层大致与所述栅极相邻;
至少部分所述源和漏区在所述顶部S/D含硅层中;
一部分所述源和漏区在至少一部分所述碳掺杂SiGe层上方。
4.如权利要求1的方法进一步包括在所述碳掺杂SiGe层上方形成上部沟道含Si层;并直接在所述上部沟道含Si层上方形成所述栅介质和所述栅极;
其中至少一部分所述碳掺杂SiGe层直接在所述沟道区下方并所述沟道区相分离。
5.如权利要求1的方法,其中所述碳掺杂SiGe层具有在0.1至0.2%之间的C原子%。
6.如权利要求1的方法,其中所述碳掺杂SiGe层具有
在68.8%至84.9%之间的Si原子%;
在15至30%之间的Ge原子%;以及
在0.1至0.2%之间的C原子%。
7.如权利要求1的方法,其中所述碳掺杂SiGe层具有约1E19至1E20原子/cc之间的C浓度。
8.一种形成半导体器件的方法,包括步骤:
在衬底上方提供栅;
在所述衬底中与所述栅相邻地蚀刻S/D凹槽;
用碳掺杂SiGe层至少部分地填充所述S/D凹槽;
至少部分地在所述碳掺杂SiGe层中大致与所述栅相邻地形成源和漏区;从而所述碳掺杂SiGe层将单轴向应变施于所述栅下方的沟道区。
9.如权利要求8的方法,其中所述碳掺杂SiGe层具有在68.8%至84.9%之间的Si原子%;
在15至30%之间的Ge原子%;以及
在0.1至0.2%之间的C原子%。
10.如权利要求8的方法,还包括在所述碳掺杂SiGe层上方形成顶部S/D硅层;
源和漏区形成的步骤进一步包括:至少部分地在所述顶部S/D含Si层中形成所述源和漏区。
11.如权利要求8的方法,其进一步包括:在形成所述碳掺杂SiGe层之后,在超过400℃的温度下对所述衬底进行退火。
12.如权利要求8的方法,其中所述碳掺杂SiGe层具有大致可在1E19至1E20原子/cc之间的C浓度。
13.一种用于形成半导体器件的方法,包括步骤:
在衬底上方提供栅介质层和栅;所述衬底包括硅;
在所述衬底中与所述栅相邻地蚀刻S/D凹槽;
用碳掺杂SiGe层部分填充所述S/D凹槽;
在所述碳掺杂SiGe层上方形成顶部S/D含Si层;
至少部分地在顶部S/D含Si层中形成源和漏区,从而所述碳掺杂SiGe层将单轴向应变施于所述栅下方的沟道区上。
14.如权利要求13的方法,其中所述碳掺杂SiGe层具有在68.8%至84.9%之间的Si原子%;
在15至30%之间的Ge原子%;
在0.1至0.2%之间的C原子%。
15.如权利要求13的方法,其中所述碳掺杂SiGe层具有的C浓度可以大致在1E19至1E20原子/cc之间。
16.如权利要求13的方法,其中所述顶部S/D含Si层基本上包括Si。
17.如权利要求13的方法,其进一步包括:在形成所述碳掺杂SiGe层之后,在超过400℃的温度下对所述衬底进行退火。
18.一种用于形成半导体器件的方法,包括步骤:
在衬底上方形成碳掺杂SiGe层;所述衬底包括硅;
在所述碳掺杂SiGe层上方形成顶部硅层;
在所述顶部硅层上方形成栅介质层、栅;
在所述衬底中与所述栅相邻地蚀刻S/D凹槽;
用含硅层至少部分地填充所述S/D凹槽;
至少部分地在所述含硅层中形成源和漏区;
从而所述碳掺杂SiGe层将单轴向应力施于所述栅下方的沟道上。
19.如权利要求18的方法,其中所述含硅层基本上包括硅。
20.如权利要求18的方法,其进一步包括:在形成所述碳掺杂SiGe层之后;在超过400℃的温度下上所述衬底进行退火。
21.如权利要求18的方法,其中所述碳掺杂SiGe层具有在68.8%至84.9%之间的Si原子%;
在15至30%之间Ge原子%;
在0.1至0.2%之间的C原子%。
22.如权利要求18的方法,其中所述碳掺杂SiGe层具有在约1E19至1E20原子/cc之间的C浓度。
23.一种用于形成半导体器件的方法,包括步骤:
在衬底上方形成中心碳掺杂SiGe层,所述衬底包括硅;
在所述中心碳掺杂SiGe层上方形成顶部硅层;
在该顶部硅层上方形成栅介质层和栅极;以及
用S/D碳掺杂SiGe层至少部分填充所述S/D凹槽,
至少部分地在所述S/D碳掺杂SiGe层中与所述栅相邻地形成源和漏区。
24.如权利要求23的方法,其中所述中心碳掺杂SiGe层具有在68.8%至84.9%之间的Si原子%;
在15至30%之间的Ge原子%;
在0.1至0.2%之间的C原子%。
25.如权利要求23的半导体器件,其中所述中心碳掺杂SiGe层具有在约1E19至1E20原子/cc之间的C浓度。
26.如权利要求23的方法,其进一步包括:在形成所述中心碳掺杂SiGe层之后,在超过400℃的温度下对所述衬底进行退火。
27.一种半导体器件,包括:
在衬底上的MOS晶体管;所述MOS晶体管包括源和漏区,栅介质、栅极、在所述栅极下方的沟道区;
碳掺杂SiGe区,其将应力施加在沟道区上,从而所述碳掺杂SiGe区在热处理之后在该沟道区上保持更多的应变。
28.如权利要求27的半导体器件,其中所述碳掺杂SiGe层在所述衬底中与所述栅极相邻,所述源和漏区至少部分地形成在所述碳掺杂SiGe层中。
29.如权利要求27的半导体器件,其中所述碳掺杂SiGe层与所述衬底中的所述栅极相邻,所述源和漏区基本上在所述碳掺杂SiGe层中。
30.如权利要求27的半导体器件,其中该碳掺杂SiGe区将单轴向应力施加在沟道区上。
31.如权利要求27的半导体器件,其中
顶部S/D含硅层在所述碳掺杂SiGe区上方;
所述源和漏区的一部分在至少一部分所述碳掺杂SiGe层上方,至少一部分所述源和漏区在所述顶部S/D含硅层中。
32.如权利要求27的半导体器件,其中至少一部分所述碳掺杂SiGe层直接在所述沟道区下方并与所述沟道区分离;上部沟道含Si层在所述碳掺杂SiGe层上方。
33.如权利要求27的半导体器件,其中
S/D凹槽在所述衬底中与所述栅极相邻,所述碳掺杂SiGe层与所述栅极相邻并在所述S/D凹槽中,
所述源和漏区至少部分在所述碳掺杂SiGe层中;
中心碳掺杂SiGe层在所述沟道区下方并与所述沟道区相分离;至少一部分上部沟道含Si层在所述中心碳掺杂SiGe层上方。
34.如权利要求27的半导体器件,其中所述碳掺杂SiGe层具有在0.1至0.2%之间的C原子%。
35.如权利要求27的半导体器件,其中所述碳掺杂SiGe层具有在68.8%至84.9%之间的Si原子%;
在15至30%之间的Ge原子%;以及
在0.1至0.2%之间的C原子%。
36.如权利要求27的半导体器件,其中所述碳掺杂SiGe层具有在约1E19至1E20原子/cc之间的C浓度。
CN200610142730.0A 2005-10-31 2006-10-30 半导体器件及其形成方法 Expired - Fee Related CN1979787B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US73235405P 2005-10-31 2005-10-31
US60/732,354 2005-10-31

Publications (2)

Publication Number Publication Date
CN1979787A true CN1979787A (zh) 2007-06-13
CN1979787B CN1979787B (zh) 2011-03-23

Family

ID=38130896

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200610142730.0A Expired - Fee Related CN1979787B (zh) 2005-10-31 2006-10-30 半导体器件及其形成方法

Country Status (3)

Country Link
US (3) US7947546B2 (zh)
CN (1) CN1979787B (zh)
SG (4) SG2014013031A (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102130054A (zh) * 2010-01-20 2011-07-20 中芯国际集成电路制造(上海)有限公司 改善半导体器件的截止漏电流发散的方法
CN102956445A (zh) * 2011-08-24 2013-03-06 中芯国际集成电路制造(上海)有限公司 一种锗硅外延层生长方法
WO2013177725A1 (zh) * 2012-05-28 2013-12-05 中国科学院微电子研究所 半导体器件及其制造方法
CN105529268A (zh) * 2014-10-27 2016-04-27 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN106062953A (zh) * 2013-12-27 2016-10-26 英特尔公司 用于CMOS的双轴向拉伸应变的Ge沟道

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7947546B2 (en) 2005-10-31 2011-05-24 Chartered Semiconductor Manufacturing, Ltd. Implant damage control by in-situ C doping during SiGe epitaxy for device applications
US8900980B2 (en) * 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
US8154051B2 (en) * 2006-08-29 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. MOS transistor with in-channel and laterally positioned stressors
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7554110B2 (en) * 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7800182B2 (en) * 2006-11-20 2010-09-21 Infineon Technologies Ag Semiconductor devices having pFET with SiGe gate electrode and embedded SiGe source/drain regions and methods of making the same
US7875511B2 (en) * 2007-03-13 2011-01-25 International Business Machines Corporation CMOS structure including differential channel stressing layer compositions
US20080242032A1 (en) * 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7700452B2 (en) * 2007-08-29 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel transistor
JP2009152394A (ja) * 2007-12-20 2009-07-09 Toshiba Corp 半導体装置及びその製造方法
US7838355B2 (en) * 2008-06-04 2010-11-23 International Business Machines Corporation Differential nitride pullback to create differential NFET to PFET divots for improved performance versus leakage
US20100109045A1 (en) * 2008-10-30 2010-05-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing stress-engineered layers
US8367485B2 (en) 2009-09-01 2013-02-05 International Business Machines Corporation Embedded silicon germanium n-type filed effect transistor for reduced floating body effect
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
US8502316B2 (en) * 2010-02-11 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned two-step STI formation through dummy poly removal
US20110215376A1 (en) 2010-03-08 2011-09-08 International Business Machines Corporation Pre-gate, source/drain strain layer formation
CN102194748B (zh) * 2010-03-15 2014-04-16 北京大学 半导体器件及其制造方法
DE102010029531B4 (de) 2010-05-31 2017-09-07 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Verringerung der Defektraten in PFET-Transistoren mit einem Si/Ge-Halbleitermaterial, das durch epitaktisches Wachsen hergestellt ist
US8426278B2 (en) 2010-06-09 2013-04-23 GlobalFoundries, Inc. Semiconductor devices having stressor regions and related fabrication methods
US8637871B2 (en) * 2010-11-04 2014-01-28 International Business Machines Corporation Asymmetric hetero-structure FET and method of manufacture
US8642407B2 (en) * 2010-11-04 2014-02-04 International Business Machines Corporation Devices having reduced susceptibility to soft-error effects and method for fabrication
CN102569082B (zh) * 2010-12-24 2015-05-20 中芯国际集成电路制造(上海)有限公司 用于制作嵌入式锗硅应变pmos器件结构的方法
WO2012102755A1 (en) * 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
US9006827B2 (en) * 2011-11-09 2015-04-14 International Business Machines Corporation Radiation hardened memory cell and design structures
US8872228B2 (en) * 2012-05-11 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel semiconductor device fabrication
US8836041B2 (en) * 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
US8735241B1 (en) * 2013-01-23 2014-05-27 Globalfoundries Inc. Semiconductor device structure and methods for forming a CMOS integrated circuit structure
CN103985633B (zh) * 2013-02-08 2017-10-20 中芯国际集成电路制造(上海)有限公司 一种pmos晶体管的制备方法
US9269714B2 (en) * 2013-06-10 2016-02-23 Globalfoundries Inc. Device including a transistor having a stressed channel region and method for the formation thereof
US9419136B2 (en) 2014-04-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices
WO2016003575A2 (en) 2014-07-02 2016-01-07 Applied Materials, Inc. Localized stress modulation for overlay and epe
US9536736B2 (en) 2015-02-04 2017-01-03 International Business Machines Corporation Reducing substrate bowing caused by high percentage sige layers
US9837415B2 (en) * 2015-06-25 2017-12-05 International Business Machines Corporation FinFET structures having silicon germanium and silicon fins with suppressed dopant diffusion
US9728642B2 (en) 2015-11-04 2017-08-08 International Business Machines Corporation Retaining strain in finFET devices
US10062695B2 (en) * 2015-12-08 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11088033B2 (en) 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
WO2018089217A1 (en) 2016-11-11 2018-05-17 Applied Materials, Inc. Hybrid laser and implant treatment for overlay error correction
WO2019005090A1 (en) * 2017-06-30 2019-01-03 Intel Corporation SOURCE AND DRAIN CONTACTS OF SEMICONDUCTOR OXIDE DEVICE COMPRISING GRADUATED INDIUM LAYERS
CN111033755A (zh) * 2017-09-29 2020-04-17 英特尔公司 利用绝缘结构施加晶体管沟道应力的设备、方法和系统
US11264501B2 (en) * 2017-09-29 2022-03-01 Intel Corporation Device, method and system for promoting channel stress in a NMOS transistor
JP2020047670A (ja) * 2018-09-14 2020-03-26 キオクシア株式会社 半導体装置及び半導体記憶装置
US10971625B2 (en) * 2019-06-30 2021-04-06 Globalfoundries U.S. Inc. Epitaxial structures of a semiconductor device having a wide gate pitch

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5985703A (en) * 1994-10-24 1999-11-16 Banerjee; Sanjay Method of making thin film transistors
US6153920A (en) * 1994-12-01 2000-11-28 Lucent Technologies Inc. Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6333217B1 (en) * 1999-05-14 2001-12-25 Matsushita Electric Industrial Co., Ltd. Method of forming MOSFET with channel, extension and pocket implants
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US7391087B2 (en) * 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6566204B1 (en) * 2000-03-31 2003-05-20 National Semiconductor Corporation Use of mask shadowing and angled implantation in fabricating asymmetrical field-effect transistors
US7064399B2 (en) * 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US6544854B1 (en) * 2000-11-28 2003-04-08 Lsi Logic Corporation Silicon germanium CMOS channel
WO2002052652A1 (fr) * 2000-12-26 2002-07-04 Matsushita Electric Industrial Co., Ltd. Composant a semi-conducteur et son procede de fabrication
US6576535B2 (en) * 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6806151B2 (en) * 2001-12-14 2004-10-19 Texas Instruments Incorporated Methods and apparatus for inducing stress in a semiconductor device
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6800910B2 (en) * 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6909186B2 (en) * 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US6927414B2 (en) * 2003-06-17 2005-08-09 International Business Machines Corporation High speed lateral heterojunction MISFETs realized by 2-dimensional bandgap engineering and methods thereof
US7208362B2 (en) * 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US6831350B1 (en) * 2003-10-02 2004-12-14 Freescale Semiconductor, Inc. Semiconductor structure with different lattice constant materials and method for forming the same
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
US7169675B2 (en) * 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7122435B2 (en) * 2004-08-02 2006-10-17 Texas Instruments Incorporated Methods, systems and structures for forming improved transistors
US7279430B2 (en) * 2004-08-17 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Process for fabricating a strained channel MOSFET device
US7145166B2 (en) * 2004-08-19 2006-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. CMOSFET with hybrid strained channels
US7112848B2 (en) * 2004-09-13 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Thin channel MOSFET with source/drain stressors
US7268049B2 (en) * 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
US20060118878A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS device with selectively formed and backfilled semiconductor substrate areas to improve device performance
US7479431B2 (en) * 2004-12-17 2009-01-20 Intel Corporation Strained NMOS transistor featuring deep carbon doped regions and raised donor doped source and drain
US7465972B2 (en) * 2005-01-21 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
US7221006B2 (en) * 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US7727845B2 (en) * 2005-10-24 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra shallow junction formation by solid phase diffusion
US7947546B2 (en) * 2005-10-31 2011-05-24 Chartered Semiconductor Manufacturing, Ltd. Implant damage control by in-situ C doping during SiGe epitaxy for device applications
JP5100137B2 (ja) * 2007-01-26 2012-12-19 株式会社東芝 半導体装置の製造方法および半導体装置

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102130054A (zh) * 2010-01-20 2011-07-20 中芯国际集成电路制造(上海)有限公司 改善半导体器件的截止漏电流发散的方法
CN102130054B (zh) * 2010-01-20 2013-05-01 中芯国际集成电路制造(上海)有限公司 改善半导体器件的截止漏电流发散的方法
CN102956445A (zh) * 2011-08-24 2013-03-06 中芯国际集成电路制造(上海)有限公司 一种锗硅外延层生长方法
WO2013177725A1 (zh) * 2012-05-28 2013-12-05 中国科学院微电子研究所 半导体器件及其制造方法
US9281398B2 (en) 2012-05-28 2016-03-08 The Institute of Microelectronics, Chinese Academy of Science Semiconductor structure and method for manufacturing the same
CN106062953A (zh) * 2013-12-27 2016-10-26 英特尔公司 用于CMOS的双轴向拉伸应变的Ge沟道
CN106062953B (zh) * 2013-12-27 2020-01-21 英特尔公司 用于CMOS的双轴向拉伸应变的Ge沟道
CN105529268A (zh) * 2014-10-27 2016-04-27 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN105529268B (zh) * 2014-10-27 2019-01-22 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法

Also Published As

Publication number Publication date
US8652892B2 (en) 2014-02-18
SG152275A1 (en) 2009-05-29
US7947546B2 (en) 2011-05-24
SG185924A1 (en) 2012-12-28
SG131844A1 (en) 2007-05-28
US20110223737A1 (en) 2011-09-15
US20140159113A1 (en) 2014-06-12
US8790980B2 (en) 2014-07-29
CN1979787B (zh) 2011-03-23
SG2014013031A (en) 2014-10-30
US20070096149A1 (en) 2007-05-03

Similar Documents

Publication Publication Date Title
CN1979787B (zh) 半导体器件及其形成方法
Chen et al. Stress memorization technique (SMT) by selectively strained-nitride capping for sub-65nm high-performance strained-Si device application
EP2036130B1 (en) N-channel mosfets comprising dual stressors, and methods for forming the same
JP4493536B2 (ja) 半導体装置及びその製造方法
KR100642747B1 (ko) Cmos 트랜지스터의 제조방법 및 그에 의해 제조된cmos 트랜지스터
CN1805144B (zh) 半导体集成电路及其制造工艺
CN100479191C (zh) Mosfet器件及其制造方法
CN100444336C (zh) 一种p型mosfet的结构及其制作方法
US8557692B2 (en) FinFET LDD and source drain implant technique
US8754482B2 (en) Semiconductor device and manufacturing method thereof
US7700452B2 (en) Strained channel transistor
US8796771B2 (en) Creating anisotropically diffused junctions in field effect transistor devices
US8815713B2 (en) Reducing pattern loading effect in epitaxy
CN103426768B (zh) 半导体器件制造方法
CN103094207A (zh) 采用应力记忆技术制造半导体器件的方法
CN104217955B (zh) N型晶体管及其制作方法、互补金属氧化物半导体
CN110034067A (zh) 半导体器件及其形成方法
CN100370622C (zh) 半导体场效应晶体管器件及其形成方法
US20100237440A1 (en) Semiconductor device and method for manufacturing the same
US9231079B1 (en) Stress memorization techniques for transistor devices
JP2011066042A (ja) 半導体装置とその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110323

Termination date: 20191030