CN1798980A - 延迟故障测试方法、相关系统和电路 - Google Patents

延迟故障测试方法、相关系统和电路 Download PDF

Info

Publication number
CN1798980A
CN1798980A CNA2004800153976A CN200480015397A CN1798980A CN 1798980 A CN1798980 A CN 1798980A CN A2004800153976 A CNA2004800153976 A CN A2004800153976A CN 200480015397 A CN200480015397 A CN 200480015397A CN 1798980 A CN1798980 A CN 1798980A
Authority
CN
China
Prior art keywords
circuit
clock
test
clock signals
objective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004800153976A
Other languages
English (en)
Chinese (zh)
Inventor
N·T·温根
G·E·埃曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Koninklijke Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Koninklijke Philips Electronics NV filed Critical Koninklijke Philips Electronics NV
Publication of CN1798980A publication Critical patent/CN1798980A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318577AC testing, e.g. current testing, burn-in
    • G01R31/31858Delay testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/3193Tester hardware, i.e. output processing circuits with comparison between actual response and known fault free response
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/3193Tester hardware, i.e. output processing circuits with comparison between actual response and known fault free response
    • G01R31/31937Timing aspects, e.g. measuring propagation delay

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
CNA2004800153976A 2003-06-03 2004-05-28 延迟故障测试方法、相关系统和电路 Pending CN1798980A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US47523903P 2003-06-03 2003-06-03
US60/475,239 2003-06-03

Publications (1)

Publication Number Publication Date
CN1798980A true CN1798980A (zh) 2006-07-05

Family

ID=33490746

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004800153976A Pending CN1798980A (zh) 2003-06-03 2004-05-28 延迟故障测试方法、相关系统和电路

Country Status (5)

Country Link
EP (1) EP1634089A1 (fr)
KR (1) KR20060019565A (fr)
CN (1) CN1798980A (fr)
TW (1) TW200508637A (fr)
WO (1) WO2004106958A1 (fr)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101852839A (zh) * 2010-05-19 2010-10-06 中国科学院计算技术研究所 老化预测和超速时延测试双功能的系统及方法
CN108351381A (zh) * 2015-08-14 2018-07-31 诺韦尔达公司 高精度时间测量装置
CN111398775A (zh) * 2019-01-03 2020-07-10 瑞昱半导体股份有限公司 电路运行速度检测电路

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100817236B1 (ko) * 2006-05-08 2008-03-27 엠텍비젼 주식회사 Jtag 컨트롤러를 이용한 지연 결함 테스트 장치 및지연 결함 테스트 방법
US8627160B2 (en) * 2010-04-21 2014-01-07 Lsi Corporation System and device for reducing instantaneous voltage droop during a scan shift operation

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6510534B1 (en) * 2000-06-29 2003-01-21 Logicvision, Inc. Method and apparatus for testing high performance circuits
US6763489B2 (en) * 2001-02-02 2004-07-13 Logicvision, Inc. Method for scan testing of digital circuit, digital circuit for use therewith and program product for incorporating test methodology into circuit description
JP4971557B2 (ja) * 2001-07-03 2012-07-11 パナソニック株式会社 半導体集積回路
JP2003043109A (ja) * 2001-07-30 2003-02-13 Nec Corp 半導体集積回路装置及びその試験装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101852839A (zh) * 2010-05-19 2010-10-06 中国科学院计算技术研究所 老化预测和超速时延测试双功能的系统及方法
CN108351381A (zh) * 2015-08-14 2018-07-31 诺韦尔达公司 高精度时间测量装置
TWI705259B (zh) * 2015-08-14 2020-09-21 挪威商諾凡爾達艾斯公司 高準確性時間測量裝置
CN108351381B (zh) * 2015-08-14 2020-10-16 诺韦尔达公司 高精度时间测量装置
US10969430B2 (en) 2015-08-14 2021-04-06 Novelda Asa High precision time measurement apparatus
CN111398775A (zh) * 2019-01-03 2020-07-10 瑞昱半导体股份有限公司 电路运行速度检测电路
CN111398775B (zh) * 2019-01-03 2024-02-06 瑞昱半导体股份有限公司 电路运行速度检测电路

Also Published As

Publication number Publication date
KR20060019565A (ko) 2006-03-03
TW200508637A (en) 2005-03-01
EP1634089A1 (fr) 2006-03-15
WO2004106958A1 (fr) 2004-12-09

Similar Documents

Publication Publication Date Title
CN1154940C (zh) 具有非易失性元件以产生配置控制信号的可编程逻辑器件
CN101911491B (zh) 用于分析扫描链和确定扫描链中的保持时间故障的数目或位置的方法
Aitken Nanometer technology effects on fault models for IC testing
Singh Cell aware and stuck-open tests
US20030115525A1 (en) Restartable logic bist controller
CN1329833C (zh) 用于容错和柔性测试签名生成器的方法和装置
EP1647828B1 (fr) Test automatique de défauts de blocs logiques en utilisant un test intégré automatique (BIST) à vitesse élevée
Yang et al. Quick scan chain diagnosis using signal profiling
US20090125770A1 (en) Scan based computation of a signature concurrently with functional operation
CN1664600A (zh) 基于二分法的电路连线导通测试方法
US6446229B1 (en) Method and apparatus for integrated flip-flop to support two test modes
US7620862B1 (en) Method of and system for testing an integrated circuit
US20140289576A1 (en) Semiconductor integrated circuit and method for self test of semiconductor integrated circuit
CN101163978A (zh) 可测试电子电路
CN1798980A (zh) 延迟故障测试方法、相关系统和电路
Nadeau-Dostie et al. An embedded technique for at-speed interconnect testing
Lo et al. Design of Static CMOS Self-Checking Circuits using Built-In Current Sensing.
US6073261A (en) Circuit for evaluating signal timing
US7308625B1 (en) Delay-fault testing method, related system and circuit
Maka et al. ATPG for scan chain latches and flip-flops
JPS61237072A (ja) デ−タ捕獲論理システム
US7685542B2 (en) Method and apparatus for shutting off data capture across asynchronous clock domains during at-speed testing
Di Natale et al. Hidden-delay-fault sensor for test, reliability and security
Makar et al. Iddq test pattern generation for scan chain latches and flip-flops
US20030149924A1 (en) Method and apparatus for detecting faults on integrated circuits

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication