CN1602546A - 基于原位传感器的半导体处理工序控制 - Google Patents

基于原位传感器的半导体处理工序控制 Download PDF

Info

Publication number
CN1602546A
CN1602546A CNA028122879A CN02812287A CN1602546A CN 1602546 A CN1602546 A CN 1602546A CN A028122879 A CNA028122879 A CN A028122879A CN 02812287 A CN02812287 A CN 02812287A CN 1602546 A CN1602546 A CN 1602546A
Authority
CN
China
Prior art keywords
data
home position
position sensing
wafer
collecting
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA028122879A
Other languages
English (en)
Inventor
A·P·桑穆加孙达拉姆
A·T·施瓦姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1602546A publication Critical patent/CN1602546A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/02Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent
    • B24B49/03Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent according to the final size of the previously ground workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/18Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the presence of dressing tools
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/19Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by positioning or contouring control systems, e.g. to control position from one programmed point to another or to control movement along a programmed continuous path
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32053Adjust work parameter as function of other cell
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32065Synchronise set points of processes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

利用从原位传感器收集的数据,通过半导体处理设备控制晶片的性能。首先,在按照晶片制法参数执行的工艺中,通过原位传感器收集与晶片性能有关的数据。随后,通过修改该制法参数来调整工艺,该制法参数按照由与该晶片性能有关的该原位传感器收集的该数据和由用于预测晶片产出的工艺模型所预测的该结果之间的比较结果来修改。接下来,利用由该原位传感器收集的该数据执行后续工艺。在本发明的至少一些实施例中,该数据可用于在由该设备处理的后续晶片上的流程到流程的控制。

Description

基于原位传感器的半导体处理工序控制
本申请要求对2001年8月31日申请的美国专利申请09/943383、2001年6月19日申请的美国临时申请60/298878及2001年7月16日申请的60/305141享有优先权,所有上述专利被收编在此以供参考。
技术领域
本发明是关于一般半导体制造的。本发明尤其是关于在制造工艺中,通过使用原位传感器(in situ sensor)控制制法参数(recipe parameter)来控制半导体处理的技术的。
背景技术
在集成电路制造中,一般会在单一的半导体晶片上同时构建多个集成电路。然后,该晶片将经历把单个集成电路从该晶片分离(例如抽取)的分离工艺(singulation process)。
在某些制造阶段,常常需要抛光半导体晶片的表面。一般而言,抛光半导体晶片是为去除高形貌、如晶格损伤等的表面缺陷、划痕、粗糙或嵌入的污垢或灰尘微粒。这种抛光工艺通常称为机械抛光(MP),并被用于提高半导体装置的质量和可靠性。这种工艺通常在所述晶片上制造各种器件和集成电路期间进行。
该抛光工艺还包括引入化学抛光液(slurry)以使在半导体表面薄膜之间的去除速率(removal rate)和选择性更高。这种抛光工艺通常称之为化学机械抛光(CMP)。
该抛光工艺中遇到的一个问题就是半导体表面的非均匀去除。去除速率直接与施加在该晶片上的向下压力、该台板和该晶片的旋转速度、抛光液微粒密度和尺寸、抛光液成分和在该抛光垫和该晶片表面之间的该有效接触面积成正比。由该抛光台板引起的去除还与在台板上的该径向位置有关。同样,由于许多其它原因包括边缘效应、空转及消耗装置等,去除速率在该整个晶片上也是不同的。
常规抛光工艺的另一个问题是难于去除已经涂镀在该半导体晶片上的非均匀膜或层。在集成电路制造期间,以不均匀的方式沉积或生长的特定层或膜产生了不均匀的表面,该表面随后将被抛光。这种层或膜的厚度可能非常小(在0.5至5.0微米的量级),因此,可去除的非均匀的余量很小。当试图抛光该半导体晶片上的翘曲表面时产生了相似的问题。在制造集成电路期间,当晶片经受各种热循环时可能发生翘曲。此翘曲的结果是该半导体表面具有高低区域,因此该高的区域将比该低的区域抛光程度大。
这些抛光问题产生的结果是同一半导体晶片的各个区表现出不同的抛光速度。例如,一个区的抛光速度可以比其它区的高许多,这使得在高速度区去除的材料太多或者在低速度区去除的材料太少。
与抛光半导体晶片关联产生的一个综合问题就是:当上述固有抛光问题发生时,难于监控抛光条件以检测和矫正它们。在抛光工艺开始之前通常对该晶片进行多个预抛光测量,并接着对其进行多个相似的后抛光测量以确定该抛光工艺是否产生所需要的形貌、厚度和均匀性。但是,这些预抛光和后抛光测量都是劳动密集型的工作,会导致产出低。
传统技术以能实时控制抛光工艺著称。在这些技术中,通过原位传感器来实时收集抛光数据。在该晶片抛光过程中,该数据被用来调整由敷料器(applicator)施加的压力。但是,这些技术不能利用该数据来修改抛光晶片时间长短,以控制在该晶片上的晶片内均匀性。同样,它们不能把由该原位传感器收集的该数据与其它信息结合。而且,使用这些技术得到的数据只用在单个抛光过程中,特别是仅用作当抛光过程应当停止时的指示,而不是用在细调(fine tuning)该抛光工艺或用在后续晶片的该抛光过程中。结果,提供的控制水平仍不是最佳的。因此,需要处理这些晶片的更有效的技术。
发明概述
本发明通过利用由原位传感器(即在处理期间能够收集数据的传感器)收集的数据,在半导体处理设备中控制晶片的性能,从而解决了所述上述问题。在本发明的至少一些实施例中,在按照晶片制法参数执行工艺的过程中,收集与晶片性能有关的数据。这样,通过修改该制法参数来调整该工艺,该制法参数按照由原位传感器收集的与该晶片性能有关的该数据和由用于预测晶片产出的工艺模型预测结果之间的该比较结果来修改。然后,利用由该原位传感器收集的该数据由该设备执行后续工艺。
在本发明的至少一些实施例中,需要控制的该晶片性能包括晶片厚度。在这些实例中,所述设备可包括多个抛光台(polishing station),其带有可控制抛光参数例如抛光时间的装置,为更好控制和更准确地执行该工艺过程中,来自所述的每个原位传感器的数据可被发送到控制系统。
而且,在本发明的至少一些实施例中,用于该晶片模型的输入数据可以由原位、在线(inline)或上游设备传感器中的任何传感器收集。这样,在用于该模型以生成制法参数之前,来自所述传感器收集的数据组合可以结合起来。而且,由该在线或上游设备传感器收集的数据可以被用来校准该原位传感器。
附图简介
参照本发明的如下详细说明并结合附图,可以更全面地了解,同样也将更容易地理解本发明的多个对象、特征和优点,其中:
图1是化学机械抛光(CMP)设备的至少一个实例的透视图;
图2描述了可与图1的CMP设备结合使用的控制系统的框图;
图3显示可由图1的该CMP设备20执行的多个参数曲线的至少一些实例;
图4描述了可由控制本发明的制造工艺执行的至少一个工艺实例;
图5描述了按照本发明的原理的可用于最优化制法参数的建模工艺的至少一个实例;
图6描述了可由控制本发明的制造工艺执行的至少一个工艺实例;
图7描述试图作为本发明实施例的一部分和与至少一些实施例一起使用的计算装置方案的高级框图;及
图8显示本发明至少一些实施例的可用于存储计算机执行工艺的内存介质的一个实例。
具体实施方式
按照本发明的至少一些实施例,本发明提供了在半导体处理设备中,利用从原位传感器收集的数据控制晶片性能的技术。尤其是,在本发明的至少一些实施例中,在制造或最优化后续工艺的其它的相似工艺过程中,试图使用来自原位传感器收集的数据。在这种情况下,本发明的至少一些实施例的技术试图使用这些信息与后续晶片的该处理结合。
图1描述了可用于执行本发明的至少一些方案的化学机械抛光(CMP)设备20的至少一个实例。
现在参照图1,该CMP设备20包括下机械基座22,该基座上安装有台面(table top)23和可移动的上外盖(upper outer cover未示出)。台面23支撑多个抛光台(polishing station)25和用于装载和卸载该基片(例如晶片)10的传送台(transfer station)27。该传送台与三个抛光台可以形成大体正方形的分布。
每个抛光台包括其上放置抛光垫(polishing pad)32的可旋转台板(platen)30。如果基片10是直径为8英寸(200毫米)或12英寸(300毫米)的圆盘,那么台板30和抛光垫32的直径将分别约为20或30英寸。台板30可以连接到位于机械基座22内的台板驱动马达(platendrive motor未显示)。对于大多数抛光处理,该台板驱动马达以每分钟30到200转的速度转动台板30,虽然也可以用较低或较高的旋转速度。每个抛光台25还可以包括相关的垫调节装置(pad conditionerapparatus)40以维持该抛光垫的该磨蚀条件。
通过组合的抛光液(slurry)/冲洗臂(rinse arm)52向抛光垫32的表面施加包含反应剂(例如用于氧化物抛光的去离子水)和化学反应催化剂(例如用于氧化物抛光的氢氧化钾)的抛光液50。如果抛光垫32是标准垫,抛光液50还可以包括磨蚀颗粒(例如用于氧化物抛光的二氧化硅)。典型地,用充足的抛光液覆盖和沾湿整个抛光垫32。抛光液/冲洗臂52包括几个喷嘴(未显示),在每次抛光和调节(conditioning)周期结束时,对抛光垫32提供高压冲洗。
包括转盘支撑板(carousel support platen)66和盖68的可旋转多头转盘(multi-head carousel)60位于下机械基座22的上方。转盘支撑板66由中心柱(center post)62支撑,并由组装在机械基座22内的转盘马达驱动其绕转盘轴(carousel axis)64旋转。多头转盘60包括四个载头(carrierhead)系统70,其被相对于轴64以等角间隔安装在转盘支撑板66上。其中的三个该载头系统接收和固定基片并通过把这些基片压在抛光台25的该抛光垫上进行抛光。其中的一个该载头系统从传送台27接收基片并把该基片传送到传送台27。该转盘马达可以环绕其上安装有该基片的该载头系统,相对于在该抛光台和传送台之间的转盘轴64旋转。
每个载头系统包括抛光或载头100。每个载头100相对其自身轴独立地旋转,并沿在转盘支撑板66中形成的径槽(radial slot)72独立地横向摆动。载体驱动杆(carrier drive shaft)74从槽72穿过以将载头旋转马达76(通过除去盖68的四分之一可见)与载头100连接起来。每个头有一个载体驱动杆和马达。每个马达和驱动杆可由滑板(slider未显示)支撑,该滑板可由径向驱动马达沿着该槽方向线性驱动,以横向摆动该载头。
在实际抛光过程中,三个该载头被放置在三个该抛光台上或其上方。每个载头100降下基片与抛光垫32接触。一般地,载头100把该基片固定在对着该抛光垫的位置并沿该基片的该背面分布力。该载头还把来自该驱动杆的扭矩传送到该基片。在美国专利6159079中有相似设备的介绍,现将其全部的公开内容收编在此以供参考。例如CMP设备的一个商业实施例可能是:由美国加利福尼亚州圣克拉拉市的应用材料有限公司提供的多个处理台或设备的任何设备,例如包括任意数量的CMP设备的MirramesaTM和RefexionTM线。而且,当图1描述的所述设备用于执行该抛光工艺并包括任意抛光台时,应该理解本发明的原理可以与各种其它类型的半导体制造工艺和处理装置(processing resource)例如非CMP设备、刻蚀设备、沉积设备及电镀设备等结合使用。处理装置的其它实例包括抛光台、室和/或电镀槽等装置。
图2描述了可用于控制CMP设备20(例如控制该设备的各个抛光方面)的控制系统的框图。尤其是,可以实时使用原位传感器210测量在执行制造工艺之前、期间和之后的一个或多个晶片的性能(尽管在本发明的至少一些实施例中特别关注执行过程中的测量)。作为一个例子,原位传感器210包括晶片厚度测量设备,用于测量在抛光期间的晶片面的形貌。例如,原位传感器210以激光干涉测量(laserinterferometer measuring)设备的形式实施测量,为了达到测量目的,应用了光波干涉。适合于与本发明结合使用的原位传感器的一个例子包括由美国加利福尼亚州圣克拉拉市的应用材料有限公司提供的原位去除监控仪(In Situ Removal Monitor)。同样,原位传感器210可包括测量电容变化的设备、测量摩擦变化的设备及测量波传播(当在抛光期间去除膜和层时)的声波机构(acoustic mechanism),所有这些都可用于实时检测厚度。而且,应注意到本发明的至少一些实施例试图使用能够测量氧化层和铜层的原位传感器。本发明的至少一些实施例设计的晶片性能测量设备的另一些例子包括总临界尺寸(CD临界尺寸)测量设备和能够执行对凹陷、腐蚀和残余、和/或微粒监测等测量的设备。
仍参照图2,晶片性能例如厚度数据和/或由原位传感器210检测的其它信息,在制造工艺例如抛光工艺开始、期间或之后,可实时发送到控制系统215。因此,如果该制造工艺是抛光步骤,应用控制系统215控制获得特定晶片外形(以下将更详细论述)所需的每个该步骤。因此,控制系统215除了可连接到原位传感器210,还可连接到CMP设备20的部件以监测和控制多个制造工艺。
控制系统215利用从原位传感器210接收的数据调整或修改任意数量的操作参数以获得一个或多个目标晶片性能。作为一个例子,从原位传感器210接收的厚度信息可能表明在晶片某个区(例如中心区)的厚度比所需厚度大。因此作为响应,可能使用控制系统215以增加某个步骤的抛光时间。例如,控制系统215可以执行一个在该中心区以较大速率抛光的抛光步骤。如以下将讨论的,每个步骤的执行都是为了产生特定晶片外形。因此,通过修改操作参数(例如在上述实例中通过增加特定抛光步骤的该时间)可以获得某些晶片外形。除了抛光时间,还可以控制其它任意数量的参数以产生需要的外形或晶片性能,包括例如抛光速率、压力、抛光液组分和流速等。
可以使用多个载头系统70执行多个制造或抛光步骤。在这方面,在本发明的至少一些实施例中的该原位传感器被设想成每个载头系统的一部分,而每个载头系统可连接到一个或多个中心控制系统包括,例如控制系统215。这样,可以分别监测来自每个该原位传感器的反馈信息。如上所述,可利用这些制造步骤的任一个来影响特定的晶片参数(或者以晶片厚度体现的外形)。例如,可采用一个制造步骤(例如抛光步骤)从基片外边缘区去除较大量的余量。相似地,也可以采用其它制造步骤从该基片中心区域去除较大量的余量。
图3显示可由该CMP设备20通过控制载头例如载头100(图1)产生特定晶片厚度而获得的多个抛光曲线的至少一些实例。例如,曲线1表示从该晶片的中心区去除较大量的余量。另一方面,曲线2表示在整个该晶片上近乎均匀地去除余量。曲线3表示在该中心区均匀地抛光而在外边缘区重抛光。曲线4表示使该载头系统在外边缘边区重抛光而在基片中心区去除较少的余量。此外,其它载头系统等可与本发明的本原理结合使用。
图4描述了本发明的至少一些实施例设计的可控制制造工艺执行的一个工艺实例。首先,收集输入晶片性能或预测量信息,例如晶片厚度,并发送到在该控制系统中执行的算法工具(步骤405)。如以下将讨论的,该输入晶片性能进入晶片模型,晶片模型生成用于获得最佳或目标晶片性能的制法参数。
这些输入晶片性能可以由任意种装置接收或收集,这些装置包括例如在线传感器410或在制造步骤之前或之后位于特定设备或台板上的传感器(例如在抛光步骤之前位于抛光设备中的传感器)。这种在线工艺的一个实例采用与测量技术结合的设备(例如由以色列雷霍沃特市(Rehovot)的Nova Measuring Instruments Inc.提供的Nova 2020TM或由美国加利福尼亚州圣克拉拉的Nanometric提供的Nano 9000TM)。
还可以由上游测量设备或前馈设备415(例如在抛光步骤之前位于抛光设备上游的设备)接收输入晶片的性能。在该实施例中,通过在前制造步骤结束或期间的另一设备的传感器可测量该性能并发送给该即时设备或台板的该工艺使用。这些设备的实例包括外部计量设备,例如由美国加利福尼亚州的圣何塞市(San Jose)的KLA-Tencor提供的RS-75TM
在其它实例中,通过设置与该即时设备结合工作的原位传感器获得该输入晶片性能。在这些实例中,在执行该工艺之前,在基片的每个该区通过扫描该载头和原位传感器获得数据。如上所述,这种原位传感器的一个实例包括由美国加利福尼亚州圣克拉拉市的应用材料有限公司提供的原位去除监测仪。
本发明的至少一些实施例试图结合从该上述传感器任意组合接收的数据来产生制法参数。同样,本发明的至少一些实施例试图利用从在线和上游设备接收的数据来校准原位传感器。
在晶片性能被送到该控制系统之后,使用晶片制造模型以最优化或生成制法参数(步骤425),该制法参数是作为其可用于产生一个或多个最优或目标晶片性能而预测的。即,使用该输入晶片性能来动态地生成该晶片制法。一般来说,该制法包括计算机程序和/或规则、说明、操作和对每个晶片或基片执行的以产生满足某种目标或最优特性(包括例如厚度或均匀性)的晶片的工序。典型地,该制法包括获得特定产出所需的多个步骤。例如,通过由一个或多个设备的组合进行的特定步骤或步骤组合执行图3的每个所述曲线。因此,根据所需最终晶片性能和从上述传感器接收的输入晶片性能,该模型可以预测预期的能够生成那些所需最终性能(例如厚度或均匀性)的制法参数范围。同样地,根据该数据,生成制法以最优化例如该基片的该晶片内范围(within wafer range即该整个晶片的该厚度)。
随后,动态地校准原位传感器210(步骤430)。例如,使用在线或上游设备传感器数据来重置原位传感器以适应该制造工艺正常操作引起的任何变化。
一旦原位传感器210已经被校准,开始制造步骤(步骤435)。在抛光步骤或工艺的状态下,载头100降下基片使其与抛光垫32接触。具体来说,按照由该控制系统的该模型产生的该制法参数确定的压力和时间将基片10放到抛光垫32中。须再次指出,虽然在本文中介绍了该实施例的抛光工艺过程,在本发明原理的范围内还可以构想其它制造工艺。
在抛光期间,原位传感器210连续地测量该基片的晶片性能(步骤440)。例如,通过原位传感器210可实时动态地测量该基片的该厚度。随后,用该数据(例如厚度或其它信息)与由该控制系统模型预测出的该期望结果比较(步骤445)。即,该原位传感器数据被用来将实际测量结果与该模型预测值比较。因此,本发明的至少一些实施例试图在该模型预测结果和实际测量数据之间建立根据模型的控制或比较表。
接下来,此比较结果被用来修改该制造工艺。以利用基片的厚度为例,如果该测量的或实际的厚度比期望的厚度厚或薄(步骤450),就相应地修改该制造步骤的参数。例如,如果该测量基片的厚度大于预测厚度,可延长或增加该抛光时间(步骤455)。同样,如果该测量基片的厚度比预测厚度薄,那么将缩短或减少该抛光时间。
另一方面,如果该实际测量性能(例如厚度)是最佳的或在目标范围内(步骤450),那么包括例如获得该目标厚度的时间的该操作参数(步骤460)被保存,并作为反馈信息用到该下一个晶片。例如,数据或信息表明抛光时间比为获得特定外形而预测的(如通过模型)抛光时间短,则数据或信息可被保存并被用于后续晶片的抛光中。特别是,模型的后续预测将会依据此保存的数据修改。因此,至少本发明的一些实施例试图将从一个流程(run)中收集的数据应用到后续的流程中。
这样,利用原位传感器数据,本发明的至少一些实施例的该工艺可被用来执行“晶片内”控制。此外,原位传感器的信息可被用于流程到流程(run to run)的控制及分辨台板和台板行为。例如,如上所论述的,动态地利用来自每个原位传感器的数据来测量生产率,而不是利用所有该台板的平均值。同样,来自上游设备传感器和在线传感器的输入数据可被用来校准原位传感器。
参照图5,描述了可用于最优化本发明的该制法参数的模型工艺的一个实例。特别是,将通过例如原位传感器、在线传感器或上游设备传感器测量的输入晶片性能送到控制系统。例如,可以送入该进入晶片532的该厚度、获得特定外形534所需的该时间和/或抛光压力536。这样,该模型510生成例如按照产生特定产出或目标性能需要而预测的该制法参数520,例如在晶片范围522内和/或最终厚度524。因此,使用从该传感器收集的该数据,晶片模型可预测获得最佳或目标结果所需要的该参数。
图6描述了用于说明本发明所构思的原理的另一个实施例。在此特定实施例中,用于铜工艺(例如用于从晶片上去除铜的工艺)的抛光设备采用具有多步骤的制法。此制法采用多个步骤,其中包括整体去除(bulk removal)步骤和端点(endpoint)步骤。该整体去除步骤是用来去除大量铜的。与整体去除步骤相反,端点步骤是一个较慢抛光步骤,因此该端点步骤是用来在端点结束该抛光工艺的。在此实施例中,该工艺可被用于解决端点时间变化大的问题,从而产生更一致的整体结果和效果。此外,虽然图6描述的实例是以铜工艺来展示的,应该了解,这里所描述的技术可以容易地与其它类型工艺一起使用,包括例如氧化物工艺。
通过监测由原位传感器210测量的该端点时间(endpoint time),并将其作为反馈信息用到后续流程,可调整每个步骤的该抛光时间以利用例如该整体去除步骤的更高抛光速率的优点。
图6中描述的该实施例是从上游设备或在线传感器(步骤607)和/或原位传感器(步骤609)接收晶片制法数据(步骤605)开始的。接下来,该工艺进行到整体去除步骤(步骤610),如上所述,可去除基片上的大量余量。该整体去除步骤持续进行由该晶片制法确定的预定时间量(步骤615)。
在该整体去除步骤之后,该工艺进行到以比该整体去除速率慢的速率抛光的端点去除步骤(步骤620)。该端点去除步骤一直进行到获得可接受的端点参数(步骤625),例如晶片厚度。然后停止抛光。
一旦该抛光步骤完成,就测量每个步骤达到该晶片端点所需的实际时间(步骤630)。分析该测量的数据以辨别是否某一步骤可调整以提高效率(步骤635)。例如,端点去除步骤较长,可能表明可以增加该整体去除步骤。在这种情况下,例如可以通过增加10秒整体去除步骤时间来显著减少40秒的端点去除时间。
相应地,在此实施例中,如果该端点去除时间较长,可以增加该整体去除时间(步骤640)。在任何情况下,无论是否调整时间,该实际测量时间(步骤645)被存储并作为反馈信息用到后续流程。结果,该数据可用于在后续工艺中的流程到流程的控制。
图7显示图2的控制系统215的其内部硬件的一个实例的框图,其实例包括许多不同类型的计算机中的任何计算机,例如由美国加利福尼亚州圣克拉拉市的英特尔公司制造的具有奔腾TM微处理器的计算机。总线756作为主要信息连线,互连系统215的所述其它部件。CPU758是该系统的该中心处理单元,实施执行此发明的该即时工艺及其它程序所需要的计算和逻辑运算。只读存储器(ROM)760和随机存储器(RAM)762构成该系统的该主要存储器。磁盘控制器764将一个或多个磁盘与该系统总线756连接。这些磁盘驱动器是:例如,软盘驱动器770,或CD ROM或DVD(数字化视频光盘)驱动器766,或内或外硬盘驱动器768。CPU 758可以是任意数量的不同类型的处理器,包括那些由英特尔公司或美国伊利诺伊州绍姆堡(Schaumberg)的摩托罗拉公司制造的处理器。这些内存/存储装置可以是任意数量不同类型的内存装置例如DRAM和SRAM及各种类型的存储装置,包括磁和光介质。此外,该内存/存储装置还可以是一种传输形式。
显示器接口772与显示器748连接并使得来自该总线756的信息在显示器748上显示。显示器748也可以是可选择的附件。例如,利用通信端口774可实现与外围设备,例如上面所述的该系统的例如其它元件的通信。例如,端口774可与连接到CMP设备20的总线/网络相连。光纤和/或电缆和/或导体和/或光通信(例如,红外线等)和/或无线通信(例如,射频RF等)可以用作在该外部装置和通信端口774之间的传送介质。外围接口754连接该键盘750和该鼠标752,把输入数据传送到总线756。除了这些部件,该控制系统还可选择性包括红外线发送器778和/或红外线接收器776。当利用该计算机系统与通过红外信号传输来发送/接收数据的一个或多个该处理部件/台结合时,可选择性地使用红外线发送器。除了使用红外线发送器或红外线接收器,该控制系统还可选择性地使用低功率无线发送器780和/或低功率无线接收器782。该低功率无线发送器发送该信号,该信号由该制造工艺的部件接收,并且通过低功率无线接收器接收来自该部件的信号。
图8是可用于存储计算机可读代码或指令(包括所述模型、制法等)的示例性计算机可读内存介质884的说明。作为一个实例,介质884可与图7所示的磁盘驱动器一起使用。典型地,内存介质如软盘或CD ROM或数字化视频光盘将包括用于单字节语言的多字节位(locale)和用于控制该上述系统以使该计算机执行这里所述的该功能的该程序信息。作为选择,ROM760和/或RAM762还可以用于存储该程序信息,该程序信息用于指示中央处理单元758执行与该即时工艺有关的所述操作。适合存储信息的计算机可读介质的其它实例包括磁、电子、或光(包括全息)存储及其组合等。此外,本发明的至少一些实施例试图采用该计算机可读介质作为传输手段。
如前所述本发明的实施例设计了可以驻留在内存/存储装置中的用于执行本发明的所述各个方案的软件的各个部分。
总之,应该强调指出本发明的实施例的该各个部分可以应用于硬件、软件或其组合。在这些实施例中,在硬件和/或软件中使用执行各个部件和步骤以实现本发明的功能。任何现有的或未来开发的计算机软件语言和/或硬件元件都可以用在本发明的这些实施例中。例如,利用C或C++编程语言实现上述的至少一些功能。
还应意识并理解,上文中所述发明的所述特定实施例仅是对本发明总原理的说明。本领域技术人员结合在前所阐释的原理可以做出多种改进。

Claims (72)

1.一种在半导体处理设备中,利用从原位传感器收集的数据控制晶片性能的方法,所述方法包括以下步骤:
(1)按照工艺模型设定与所述晶片性能有关的制法参数,其中所述模型用于预测晶片产出;
(2)按照所述制法参数用该设备在晶片上执行工艺;
(3)在执行所述工艺期间,用所述原位传感器收集与所述晶片性能有关的数据;
(4)按照由所述原位传感器收集的与所述晶片性能有关的所述数据与由所述模型预测的结果之间的比较结果,通过修改所述制法参数来调整所述工艺;及
(5)将通过所述原位传感器收集的所述数据用到由该设备在后续晶片上执行的工艺中。
2.如权利要求1所述的方法,其中所述性能包括晶片厚度。
3.如权利要求1所述的方法,其中所述设备包括抛光装置。
4.如权利要求1所述的方法,其中所述设备包括多个处理装置,每个所述处理装置包括原位传感器,而且,在执行所述工艺期间,其中来自一个原位传感器的数据可实时发送到另一个处理装置。
5.如权利要求1所述的方法,还包括从在线传感器收集数据的步骤;及
在处理所述后续晶片之前,将从所述在线传感器收集的所述数据与从所述原位传感器收集的所述数据结合。
6.如权利要求5所述的方法,其中从所述在线传感器收集的数据被用于校准所述原位传感器。
7.如权利要求1所述的方法,还包括从位于上游设备中的传感器收集数据的步骤;及
在处理所述后续晶片之前,将从所述上游设备收集的所述数据与从所述原位传感器收集的所述数据结合。
8.如权利要求7所述的方法,其中从所述上游设备收集的数据被用于校准所述原位传感器。
9.如权利要求1所述的方法,其中所述参数包括处理时间。
10.如权利要求1所述的方法,其中由所述原位传感器收集的所述数据被用于在由所述设备处理的后续晶片上的流程到流程的控制。
11.如权利要求1所述的方法,其中所述设备包括多个处理装置,每个所述处理装置包括原位传感器,而且其中来自一个原位传感器的数据可与来自另一个原位传感器的数据实时相比以比较来自每个所述处理装置的结果。
12.一种在半导体处理设备中,利用从原位传感器收集的数据控制晶片性能的方法,所述方法包括以下步骤:
(1)在按照晶片制法参数执行工艺期间,用所述原位传感器收集与所述晶片性能有关的数据;
(2)按照由所述原位传感器收集的与所述晶片性能有关的所述数据与由用于预测晶片产出的工艺模型预测的结果之间的比较结果,通过修改所述制法参数来调整所述工艺;及
(3)将由所述原位传感器收集的所述数据用于由该设备在后续晶片上执行的工艺中。
13.如权利要求12所述的方法,其中所述调整步骤包括增加或减少处理时间。
14.如权利要求13所述的方法,其中所述处理时间包括抛光时间。
15.如权利要求12所述的方法,其中所述设备包括多个处理装置,每个处理装置包括原位传感器,而且,在执行所述工艺期间,其中来自一个原位传感器的数据可以实时发送到另一个处理装置。
16.如权利要求12所述的方法,还包括收集来自在线传感器的数据的步骤;及
在处理所述后续晶片之前,将从所述在线传感器收集的所述数据与从所述原位传感器收集的数据结合。
17.如权利要求12所述的方法,还包括从位于上游设备中的传感器收集数据的步骤;及
在处理所述后续晶片之前,把从所述上游设备收集的所述数据与从所述原位传感器收集的数据结合。
18.如权利要求12所述的方法,其中由所述原位传感器收集的所述数据被用于在由所述设备处理的后续晶片上的流程到流程的控制。
19.一种控制晶片性能的系统,包括:
能够按照与晶片性能有关的制法参数执行处理晶片的工艺的半导体处理设备;
配置成在执行所述工艺期间收集与所述晶片性能有关的数据的原位传感器;及
可用于按照预测晶片产出的工艺模型设定所述制法参数的处理器,其中所述处理器用于通过修改所述制法参数来调整所述工艺,而所述制法参数按照由所述原位传感器收集的与所述晶片性能有关的所述数据和由所述模型预期的结果之间的比较结果来修改,而且,其中所述处理器将所述原位传感器收集的所述数据用于由该设备在后续晶片上执行的工艺中。
20.如权利要求19所述的系统,其中所述晶片性能包括晶片厚度。
21.如权利要求19所述的系统,其中所述设备包括抛光装置。
22.如权利要求19所述的系统,其中所述设备包括多个处理装置,每个所述处理装置包括原位传感器,而且,在执行所述工艺期间,其中来自一个原位传感器的数据可以实时地被发送到另一个处理装置。
23.如权利要求19所述的系统,还包括用于收集数据的在线传感器,在处理所述后续晶片之前,其中从所述在线传感器收集的所述数据与从所述原位传感器收集的所述数据结合。
24.如权利要求23所述的系统,其中从所述在线传感器收集的数据被用于校准所述原位传感器。
25.如权利要求19所述的系统,还包括用于收集数据的位于上游设备中的传感器,而且,在处理所述后续晶片之前,其中从所述上游设备收集的所述数据与从所述原位传感器收集的所述数据结合。
26.如权利要求25所述的系统,其中从所述上游设备收集的数据被用于校准所述原位传感器。
27.如权利要求19所述的系统,其中所述参数包括处理时间。
28.如权利要求19所述的系统,其中由所述原位传感器收集的所述数据被用于在由所述设备处理的后续晶片上的流程到流程的控制。
29.如权利要求19所述的系统,其中所述设备包括多个处理装置,每个所述处理装置包括原位传感器,来自一个原位传感器的数据可与来自另一个原位传感器的数据实时相比以比较来自每个装置的结果。
30.一种控制晶片性能的系统,包括:
按照晶片制法参数,在由半导体处理设备执行的工艺过程中用于收集与所述晶片性能有关数据的原位传感器;
配置成通过修改所述制法参数来调整所述处理的处理器,该制法参数按照由所述原位传感器收集的与所述晶片性能有关的所述数据和由用于预测晶片产出的工艺模型预期的结果之间的比较结果来修改;及
其中所述处理器被配置成将所述原位传感器收集的所述数据用于由该设备在后续晶片上执行的工艺中。
31.如权利要求30所述的系统,其中所述处理器配置成可以增加或减少该设备的处理时间。
32.如权利要求31所述的系统,其中所述处理时间包括抛光时间。
33.如权利要求30所述的系统,其中所述设备包括多个处理装置,每个所述处理装置包括原位传感器,而且,在执行所述工艺期间,其中来自一个原位传感器的数据可以实时被发送到另一个处理装置。
34.如权利要求30所述的系统,还包括配置成用于收集数据的在线传感器,而且,在处理所述后续晶片之前,其中所述在线传感器适合于将所述收集的数据与从所述原位传感器收集的所述数据结合。
35.如权利要求30所述的系统,还包括配置成用于收集数据的位于上游设备中的传感器,在处理所述后续晶片之前,其中所述传感器适合于将所述收集的数据与从所述原位传感器收集的所述数据结合。
36.如权利要求30所述的系统,其中由所述原位传感器收集的所述数据被用于在由所述设备处理的后续晶片上的流程到流程的控制。
37.一种在半导体处理设备中,利用从原位传感器收集的数据控制晶片性能的系统,所述系统包括:
按照工艺模型设定与所述晶片性能有关的制法参数的装置,其中所述模型用于预测晶片产出;
按照所述制法参数,用该设备在晶片上执行工艺的装置;
在执行所述工艺期间,用所述原位传感器收集与所述晶片性能有关的数据的装置;
通过修改所述制法参数调整所述工艺的装置,该制法参数按照由所述原位传感器收集的与所述晶片性能有关的所述数据和由所述模型预测的结果之间的比较结果来修改;及
在由该设备在后续晶片上执行的工艺中,利用由所述原位传感器收集的所述数据的装置。
38.如权利要求37所述的系统,其中所述性能包括晶片厚度。
39.如权利要求37所述的系统,其中所述设备包括抛光装置。
40.如权利要求37所述的系统,其中所述设备包括多个处理装置,每个所述处理装置包括原位传感器,而且,在执行所述工艺期间,其中来自一个原位传感器的数据可以实时被发送到另一个处理装置。
41.如权利要求37所述的系统,还包括用于从在线传感器收集数据的装置;及
在处理所述后续晶片之前,用于将从所述在线传感器收集的所述数据与从所述原位传感器收集的所述数据结合的装置。
42.如权利要求41所述的系统,其中从所述在线传感器收集的数据被用于校准所述原位传感器。
43.如权利要求37所述的系统,还包括用于从位于上游设备中的传感器收集数据的装置;及
在处理所述后续晶片之前,用于将从所述上游设备收集的所述数据与从所述原位传感器收集的所述数据结合的装置。
44.如权利要求43所述的系统,其中从所述上游设备收集的数据被用于校准所述原位传感器。
45.如权利要求37所述的系统,其中所述参数包括处理时间。
46.如权利要求37所述的系统,其中由所述原位传感器收集的所述数据被用于在由所述设备处理的后续晶片上的流程到流程的控制。
47.如权利要求37所述的系统,其中所述设备包括多个处理装置,每个所述处理装置包括原位传感器,而且其中来自一个原位传感器的数据可与来自另一个原位传感器的数据实时相比以比较来自每个所述处理装置的结果。
48.一种在半导体处理设备中,利用从原位传感器收集的数据控制晶片性能的系统,所述系统包括:
按照晶片制法参数执行工艺的过程中,用所述原位传感器收集与所述晶片性能有关的数据的装置;
通过修改所述制法参数调整所述处理的装置,该制法参数按照由所述原位传感器收集的与所述晶片性能有关的所述数据和由用于预测晶片产出的工艺模型预测的结果之间的比较结果来修改;及
由该设备在后续晶片上执行的工艺中,使用由所述原位传感器收集的所述数据的装置。
49.如权利要求48所述的系统,其中用于调整的所述装置包括增加或减少处理时间的装置。
50.如权利要求49所述的系统,其中所述处理时间包括抛光时间。
51.如权利要求48所述的系统,其中所述设备包括多个处理装置,每个所述处理装置包括原位传感器,而且,在执行所述工艺过程中,其中来自一个原位传感器的数据可以实时发送到另一个处理装置。
52.如权利要求48所述的系统,还包括用于从在线传感器收集数据的装置;及
在处理所述后续晶片之前,用于将从所述在线传感器收集的所述数据与从所述原位传感器收集的所述数据结合的装置。
53.如权利要求48所述的系统,还包括用于从位于上游设备中的传感器收集数据的装置;及
在处理所述后续晶片之前,将从所述上游设备收集的所述数据与从所述原位传感器收集的所述数据结合的装置。
54.如权利要求48所述的系统,其中由所述原位传感器收集的所述数据被用于在由所述设备处理的后续晶片上的流程到流程的控制。
55.一种在半导体处理设备中,利用从原位传感器收集的数据控制晶片性能的计算机可读介质,所述计算机可读介质包括:
按照工艺模型设定与所述晶片性能有关的制法参数的计算机可读指令,其中所述模型用于预测晶片产出;
按照所述制法参数用该设备在晶片上执行工艺的计算机可读指令;
在执行所述工艺期间,用所述原位传感器收集与所述晶片性能有关的数据的计算机可读指令;
通过修改所述制法参数来调整所述工艺的计算机可读指令,该制法参数是按照由所述原位传感器收集的与所述晶片性能有关的所述原位数据和由所述模型预测的结果之间的比较结果来修改的;及
在由该设备在后续晶片上执行的工艺中,利用由所述原位传感器收集的所述数据的计算机可读指令。
56.如权利要求55所述的计算机可读介质,其中所述性能包括晶片厚度。
57.如权利要求55所述的计算机可读介质,其中所述设备包括抛光装置。
58.如权利要求55所述的计算机可读介质,其中所述设备包括多个处理装置,每个所述处理装置包括原位传感器,而且,在执行所述工艺过程中,其中来自一个原位传感器的数据可以实时被发送到另一个处理装置。
59.如权利要求55所述的计算机可读介质,还包括用于从在线传感器收集数据的计算机可读指令;及
用于在处理所述后续晶片之前,将从所述在线传感器收集的所述数据与从所述原位传感器收集的所述数据结合的计算机可读指令。
60.如权利要求59所述的计算机可读介质,其中从所述在线传感器收集的数据被用于校准所述原位传感器。
61.如权利要求55所述的计算机可读介质,还包括用于从位于上游设备中的传感器收集数据的计算机可读指令;及
在处理所述后续晶片之前,用于将从所述上游设备收集的所述数据与从所述原位传感器收集的所述数据结合的计算机可读指令。
62.如权利要求61所述的计算机可读介质,其中从所述上游设备中收集的数据被用于校准所述原位传感器。
63.如权利要求55所述的计算机可读介质,其中所述参数包括处理时间。
64.如权利要求55所述的计算机可读介质,其中由所述原位传感器收集的所述数据被用于在由所述设备处理的后续晶片上的流程到流程的控制。
65.如权利要求55所述的计算机可读介质,其中所述设备包括多个处理装置,每个所述处理装置包括原位传感器,而且,其中来自一个原位传感器的数据可与来自另一个原位传感器的数据实时相比以比较来自每个装置的结果。
66.一种在半导体处理设备中,利用从原位传感器收集的数据控制晶片性能的计算机可读介质,所述计算机可读介质包括:
按照晶片制法参数执行的工艺过程中,用于利用所述原位传感器收集与所述晶片性能有关的数据的计算机可读指令;
通过修改所述制法参数调整所述工艺的计算机可读指令,该制法参数按照由所述原位传感器收集的与所述晶片性能有关的所述数据和由用于预测晶片产出的工艺模型预测的结果之间的比较结果来修改;及
在由该设备在后续晶片上执行的工艺中,使用由所述原位传感器收集的所述数据的计算机可读指令。
67.如权利要求66所述的计算机可读介质,其中用于调整的计算机可读指令包括用于增加或减少处理时间的计算机可读指令。
68.如权利要求67所述的计算机可读介质,其中所述处理时间包括抛光时间。
69.如权利要求66所述的计算机可读介质,其中所述设备包括多个处理装置,每个所述处理装置包括原位传感器,而且,在执行所述工艺过程中,其中来自一个原位传感器的数据可以实时被发送到另一个所述处理装置。
70.如权利要求66所述的计算机可读介质,还包括用于从在线传感器收集数据的计算机可读指令;及
在处理所述后续晶片之前,用于将从所述在线传感器收集的所述数据与从所述原位传感器收集的所述数据结合的计算机可读指令。
71.如权利要求66所述的计算机可读介质,还包括用于从位于上游设备中的传感器收集数据的计算机可读指令;及
在处理所述后续晶片之前,将从所述上游设备收集的所述数据与从所述原位传感器收集的所述数据结合的计算机可读指令。
72.如权利要求66所述的计算机可读介质,其中由所述原位传感器收集的数据被用于在由所述设备处理的后续晶片上的流程到流程的控制。
CNA028122879A 2001-06-19 2002-06-17 基于原位传感器的半导体处理工序控制 Pending CN1602546A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US29887801P 2001-06-19 2001-06-19
US60/298,878 2001-06-19
US30514101P 2001-07-16 2001-07-16
US60/305,141 2001-07-16
US09/943,383 US20020192966A1 (en) 2001-06-19 2001-08-31 In situ sensor based control of semiconductor processing procedure
US09/943,383 2001-08-31

Publications (1)

Publication Number Publication Date
CN1602546A true CN1602546A (zh) 2005-03-30

Family

ID=27404602

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA028122879A Pending CN1602546A (zh) 2001-06-19 2002-06-17 基于原位传感器的半导体处理工序控制

Country Status (6)

Country Link
US (1) US20020192966A1 (zh)
EP (1) EP1399960A1 (zh)
JP (1) JP2005518654A (zh)
KR (1) KR20040064616A (zh)
CN (1) CN1602546A (zh)
WO (1) WO2002103779A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100451888C (zh) * 2005-05-03 2009-01-14 台湾积体电路制造股份有限公司 用以监控半导体生产设备中的处理工具的方法与系统
CN101034661B (zh) * 2006-03-08 2010-09-01 东京毅力科创株式会社 基板处理装置和基板处理条件研究方法
CN102194655A (zh) * 2010-03-15 2011-09-21 中芯国际集成电路制造(上海)有限公司 半导体工艺机台参数优化调整的方法
CN103681296A (zh) * 2012-09-14 2014-03-26 意法半导体公司 用于获得均匀性和表面电荷的全晶片映射的内建度量
CN107004060A (zh) * 2014-11-25 2017-08-01 流动马赛克公司 用于半导体制造工艺的经改进工艺控制技术
CN112908842A (zh) * 2016-12-02 2021-06-04 应用材料公司 Rfid零件认证及处理部件的追踪
CN115008335A (zh) * 2021-03-05 2022-09-06 应用材料公司 使用成本函数或预期的未来参数变化对基板抛光期间的处理参数的控制
US11969854B2 (en) 2022-02-28 2024-04-30 Applied Materials, Inc. Control of processing parameters during substrate polishing using expected future parameter changes

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10117612B4 (de) * 2001-04-07 2007-04-12 Infineon Technologies Ag Polieranlage
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US6773939B1 (en) * 2001-07-02 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for determining critical dimension variation in a line structure
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US7309618B2 (en) * 2002-06-28 2007-12-18 Lam Research Corporation Method and apparatus for real time metal film thickness measurement
US20040011462A1 (en) * 2002-06-28 2004-01-22 Lam Research Corporation Method and apparatus for applying differential removal rates to a surface of a substrate
JP4995419B2 (ja) * 2002-06-28 2012-08-08 東京エレクトロン株式会社 材料プロセスツール及びパフォーマンスデータを用いてプロセスを制御する方法及びシステム
US6925347B1 (en) * 2002-08-19 2005-08-02 Advanced Micro Devices, Inc. Process control based on an estimated process result
US6810296B2 (en) * 2002-09-25 2004-10-26 Advanced Micro Devices, Inc. Correlating an inline parameter to a device operation parameter
JP4020739B2 (ja) 2002-09-27 2007-12-12 株式会社荏原製作所 ポリッシング装置
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7078344B2 (en) 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7292906B2 (en) 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7195537B1 (en) * 2005-10-07 2007-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for detecting device-under-test dependency
US7840305B2 (en) * 2006-06-28 2010-11-23 3M Innovative Properties Company Abrasive articles, CMP monitoring system and method
US7991499B2 (en) * 2006-12-27 2011-08-02 Molnar Charles J Advanced finishing control
JP2008258510A (ja) * 2007-04-07 2008-10-23 Tokyo Seimitsu Co Ltd Cmp装置の研磨条件管理装置及び研磨条件管理方法
JP2008277450A (ja) * 2007-04-26 2008-11-13 Tokyo Seimitsu Co Ltd Cmp装置の研磨条件管理装置及び研磨条件管理方法
US7957827B2 (en) * 2007-12-27 2011-06-07 United Microelectronics Corp. Method of controlling statuses of wafers
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US20140080229A1 (en) * 2012-09-14 2014-03-20 Stmicroelectronics, Inc. Adaptive semiconductor processing using feedback from measurement devices
KR101979360B1 (ko) * 2012-11-06 2019-05-17 삼성디스플레이 주식회사 증착량 측정 장치, 이를 포함하는 증착 장치 및 발광 표시장치 제조방법
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
TWI635929B (zh) * 2013-07-11 2018-09-21 日商荏原製作所股份有限公司 研磨裝置及研磨狀態監視方法
JP6215602B2 (ja) * 2013-07-11 2017-10-18 株式会社荏原製作所 研磨装置および研磨状態監視方法
US9997420B2 (en) * 2013-12-27 2018-06-12 Taiwan Semiconductor Manufacturing Company Limited Method and/or system for chemical mechanical planarization (CMP)
CN105489524A (zh) * 2015-12-08 2016-04-13 成都海威华芯科技有限公司 化合物半导体产品制造过程中的工艺验证方法
CN107346749A (zh) * 2016-05-04 2017-11-14 台湾积体电路制造股份有限公司 半导体制程及其制程设备与控制装置
TWI825075B (zh) 2018-04-03 2023-12-11 美商應用材料股份有限公司 針對墊子厚度使用機器學習及補償的拋光裝置、拋光系統、方法及電腦儲存媒體
CN117900999A (zh) 2020-06-24 2024-04-19 应用材料公司 使用研磨垫磨损补偿的基板层厚度确定

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209744A (en) * 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) * 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4755753A (en) * 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US4901218A (en) * 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5430836A (en) * 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) * 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5240552A (en) * 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5196353A (en) * 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
FR2700403B1 (fr) * 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5486129A (en) * 1993-08-25 1996-01-23 Micron Technology, Inc. System and method for real-time control of semiconductor a wafer polishing, and a polishing head
US5643060A (en) * 1993-08-25 1997-07-01 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including heater
US5658183A (en) * 1993-08-25 1997-08-19 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical monitoring
US5526293A (en) * 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5791969A (en) * 1994-11-01 1998-08-11 Lund; Douglas E. System and method of automatically polishing semiconductor wafers
US5643044A (en) * 1994-11-01 1997-07-01 Lund; Douglas E. Automatic chemical and mechanical polishing system for semiconductor wafers
US5534289A (en) * 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5761065A (en) * 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5943550A (en) * 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
KR100243636B1 (ko) * 1996-05-14 2000-03-02 요시다 아키라 다이캐스팅기용 주조 제어 지원시스템
US5643050A (en) * 1996-05-23 1997-07-01 Industrial Technology Research Institute Chemical/mechanical polish (CMP) thickness monitor
US5872633A (en) * 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5941758A (en) * 1996-11-13 1999-08-24 Intel Corporation Method and apparatus for chemical-mechanical polishing
US6094688A (en) * 1997-01-08 2000-07-25 Crossworlds Software, Inc. Modular application collaboration including filtering at the source and proxy execution of compensating transactions to conserve server resources
US5865665A (en) * 1997-02-14 1999-02-02 Yueh; William In-situ endpoint control apparatus for semiconductor wafer polishing process
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
US5912678A (en) * 1997-04-14 1999-06-15 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
US5882243A (en) * 1997-04-24 1999-03-16 Motorola, Inc. Method for polishing a semiconductor wafer using dynamic control
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6111634A (en) * 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
TW436369B (en) * 1997-07-11 2001-05-28 Tokyo Seimitsu Co Ltd Wafer polishing device
US6028669A (en) * 1997-07-23 2000-02-22 Luxtron Corporation Signal processing for in situ monitoring of the formation or removal of a transparent layer
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
KR20010032223A (ko) * 1997-11-18 2001-04-16 카리 홀란드 화학적 기계 연마 공정의 모델링 방법 및 장치
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR100278600B1 (ko) * 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
US6271670B1 (en) * 1998-02-09 2001-08-07 Sandia Corporation Method and apparatus for detecting external cracks from within a metal tube
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6277014B1 (en) * 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
JP4365914B2 (ja) * 1998-11-25 2009-11-18 キヤノン株式会社 半導体製造装置およびデバイス製造方法
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6100195A (en) * 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6024628A (en) * 1999-01-22 2000-02-15 United Microelectronics Corp. Method of determining real time removal rate for polishing
WO2000054325A1 (en) * 1999-03-10 2000-09-14 Nova Measuring Instruments Ltd. Method and apparatus for monitoring a chemical mechanical planarization process applied to metal-based patterned objects
US6281127B1 (en) * 1999-04-15 2001-08-28 Taiwan Semiconductor Manufacturing Company Self-passivation procedure for a copper damascene structure
US6259160B1 (en) * 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6268270B1 (en) * 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
KR100649387B1 (ko) * 1999-06-22 2006-11-27 브룩스 오토메이션 인코퍼레이티드 초소형전자 제조에 사용하기 위한 공정수행 간 제어기
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6776692B1 (en) * 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6306008B1 (en) * 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6427093B1 (en) * 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
US6417014B1 (en) * 1999-10-19 2002-07-09 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
KR100311077B1 (ko) * 1999-10-23 2001-11-02 윤종용 선행공정의 결과에 따라 최적의 후행공정장비 및/또는 후행공정조건을 가변적으로 적용하는 로트 디스패칭방법 및 이를 위한 시스템
US6096649A (en) * 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6567717B2 (en) * 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
JP4874465B2 (ja) * 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6878038B2 (en) * 2000-07-10 2005-04-12 Applied Materials Inc. Combined eddy current sensing and optical monitoring for chemical mechanical polishing
US6400162B1 (en) * 2000-07-21 2002-06-04 Ade Corporation Capacitive displacement sensor for measuring thin targets
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6442496B1 (en) * 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
JP3634734B2 (ja) * 2000-09-22 2005-03-30 株式会社日立製作所 プラズマ処理装置および処理方法
US6432728B1 (en) * 2000-10-16 2002-08-13 Promos Technologies, Inc. Method for integration optimization by chemical mechanical planarization end-pointing technique
US6805613B1 (en) * 2000-10-17 2004-10-19 Speedfam-Ipec Corporation Multiprobe detection system for chemical-mechanical planarization tool
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6819963B2 (en) * 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6588007B1 (en) * 2001-01-03 2003-07-01 Advanced Micro Devices, Inc. Use of endpoint system to match individual processing stations within a tool
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
JP2002373843A (ja) * 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US7006955B2 (en) * 2001-10-15 2006-02-28 General Electric Company System and method for statistical design of ultrasound probe and imaging system
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6751518B1 (en) * 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100451888C (zh) * 2005-05-03 2009-01-14 台湾积体电路制造股份有限公司 用以监控半导体生产设备中的处理工具的方法与系统
CN101034661B (zh) * 2006-03-08 2010-09-01 东京毅力科创株式会社 基板处理装置和基板处理条件研究方法
CN102194655A (zh) * 2010-03-15 2011-09-21 中芯国际集成电路制造(上海)有限公司 半导体工艺机台参数优化调整的方法
CN102194655B (zh) * 2010-03-15 2013-04-10 中芯国际集成电路制造(上海)有限公司 半导体工艺机台参数优化调整的方法
CN103681296A (zh) * 2012-09-14 2014-03-26 意法半导体公司 用于获得均匀性和表面电荷的全晶片映射的内建度量
CN107004060A (zh) * 2014-11-25 2017-08-01 流动马赛克公司 用于半导体制造工艺的经改进工艺控制技术
CN112908842A (zh) * 2016-12-02 2021-06-04 应用材料公司 Rfid零件认证及处理部件的追踪
CN115008335A (zh) * 2021-03-05 2022-09-06 应用材料公司 使用成本函数或预期的未来参数变化对基板抛光期间的处理参数的控制
US11919121B2 (en) 2021-03-05 2024-03-05 Applied Materials, Inc. Control of processing parameters during substrate polishing using constrained cost function
US11969854B2 (en) 2022-02-28 2024-04-30 Applied Materials, Inc. Control of processing parameters during substrate polishing using expected future parameter changes

Also Published As

Publication number Publication date
US20020192966A1 (en) 2002-12-19
WO2002103779A1 (en) 2002-12-27
JP2005518654A (ja) 2005-06-23
KR20040064616A (ko) 2004-07-19
EP1399960A1 (en) 2004-03-24

Similar Documents

Publication Publication Date Title
CN1602546A (zh) 基于原位传感器的半导体处理工序控制
JP4799817B2 (ja) 半導体ウェハの表面平坦化装置
KR100904866B1 (ko) 컨디셔닝을 위한 컴퓨터 구현 방법, 컨디셔닝 장치 및 컴퓨터 판독가능 매체
US7354332B2 (en) Technique for process-qualifying a semiconductor manufacturing tool using metrology data
CN2763968Y (zh) 化学机械研磨装置
KR100904867B1 (ko) 패드 웨어 및 패드 컨디셔닝 모델을 전개하는 컴퓨터 실행 방법, 컴퓨터 판독가능 매체, 및 컨디셔닝 시스템
CN1447396A (zh) 化学机械抛光装置及其控制方法
CN1744285A (zh) 制造系统
WO2003024666A1 (en) Wafer based temperature sensors for characterizing chemical mechanical polishing processes
US20060113036A1 (en) Computer integrated manufacturing control system for oxide chemical mechanical polishing
Baisie et al. Design optimization of diamond disk pad conditioners
JP2006263876A (ja) 研磨装置、研磨方法および半導体装置の製造方法
US6347977B1 (en) Method and system for chemical mechanical polishing
JP2003188132A (ja) 研磨レシピ決定方法
CN101065218A (zh) Cmp工艺中的灵活冲洗步骤
JP2003188131A (ja) 研磨方法
WO2022265967A2 (en) Method and apparatus for in-situ monitoring of chemical mechanical planarization (cmp) processes
TW200408500A (en) Method and apparatus for monitoring a polishing condition of a surface of a wafer in a polishing process

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication