CN1577751A - 金属栅极场效应晶体管的栅极结构的制作方法 - Google Patents
金属栅极场效应晶体管的栅极结构的制作方法 Download PDFInfo
- Publication number
- CN1577751A CN1577751A CNA2004100626558A CN200410062655A CN1577751A CN 1577751 A CN1577751 A CN 1577751A CN A2004100626558 A CNA2004100626558 A CN A2004100626558A CN 200410062655 A CN200410062655 A CN 200410062655A CN 1577751 A CN1577751 A CN 1577751A
- Authority
- CN
- China
- Prior art keywords
- etching
- hydrogen bromide
- chlorine
- hbr
- layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 30
- 239000002184 metal Substances 0.000 title claims abstract description 30
- 230000005669 field effect Effects 0.000 title claims abstract description 20
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 18
- 238000000034 method Methods 0.000 claims abstract description 91
- 238000005530 etching Methods 0.000 claims abstract description 78
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 34
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims abstract description 20
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims abstract description 18
- 229920005591 polysilicon Polymers 0.000 claims abstract description 17
- 239000000377 silicon dioxide Substances 0.000 claims abstract description 16
- 235000012239 silicon dioxide Nutrition 0.000 claims abstract description 13
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 claims abstract description 8
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 claims abstract description 8
- 229910052794 bromium Inorganic materials 0.000 claims abstract description 8
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 claims description 104
- 229910000042 hydrogen bromide Inorganic materials 0.000 claims description 52
- 239000000460 chlorine Substances 0.000 claims description 50
- 239000000758 substrate Substances 0.000 claims description 38
- 238000005516 engineering process Methods 0.000 claims description 37
- 239000007789 gas Substances 0.000 claims description 35
- 238000005260 corrosion Methods 0.000 claims description 25
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 23
- 229910052801 chlorine Inorganic materials 0.000 claims description 23
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 22
- 238000001556 precipitation Methods 0.000 claims description 19
- 239000010936 titanium Substances 0.000 claims description 15
- 239000000463 material Substances 0.000 claims description 14
- 229910052721 tungsten Inorganic materials 0.000 claims description 14
- 239000010937 tungsten Substances 0.000 claims description 14
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 12
- 229910052710 silicon Inorganic materials 0.000 claims description 12
- 239000010703 silicon Substances 0.000 claims description 12
- 229910052786 argon Inorganic materials 0.000 claims description 11
- 230000003628 erosive effect Effects 0.000 claims description 11
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 11
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 10
- 229910004298 SiO 2 Inorganic materials 0.000 claims description 9
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 9
- 229910000449 hafnium oxide Inorganic materials 0.000 claims description 9
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 claims description 9
- 239000001307 helium Substances 0.000 claims description 9
- 229910052734 helium Inorganic materials 0.000 claims description 9
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 9
- 230000006698 induction Effects 0.000 claims description 8
- 229910052715 tantalum Inorganic materials 0.000 claims description 8
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims description 8
- 229910052719 titanium Inorganic materials 0.000 claims description 8
- -1 tungsten nitride Chemical class 0.000 claims description 8
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 7
- 229910052754 neon Inorganic materials 0.000 claims description 7
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 7
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 7
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 7
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 7
- 229910004129 HfSiO Inorganic materials 0.000 claims description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 6
- 239000007788 liquid Substances 0.000 claims description 6
- 239000001301 oxygen Substances 0.000 claims description 6
- 229910052760 oxygen Inorganic materials 0.000 claims description 6
- 238000001020 plasma etching Methods 0.000 claims description 6
- KFVPJMZRRXCXAO-UHFFFAOYSA-N [He].[O] Chemical compound [He].[O] KFVPJMZRRXCXAO-UHFFFAOYSA-N 0.000 claims description 5
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 claims description 5
- JIMUOUDLWPNFAY-UHFFFAOYSA-N [Si]=O.[Hf].[N] Chemical compound [Si]=O.[Hf].[N] JIMUOUDLWPNFAY-UHFFFAOYSA-N 0.000 claims description 5
- 229910052757 nitrogen Inorganic materials 0.000 claims description 5
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 claims description 4
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 3
- 239000003989 dielectric material Substances 0.000 claims description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 3
- 229910000147 aluminium phosphate Inorganic materials 0.000 claims description 2
- 238000010790 dilution Methods 0.000 claims description 2
- 239000012895 dilution Substances 0.000 claims description 2
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 2
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 claims 2
- 229910002091 carbon monoxide Inorganic materials 0.000 claims 1
- 230000002045 lasting effect Effects 0.000 claims 1
- 150000001875 compounds Chemical class 0.000 abstract description 2
- 150000002739 metals Chemical class 0.000 abstract description 2
- 239000010410 layer Substances 0.000 description 98
- 230000007797 corrosion Effects 0.000 description 19
- 239000004065 semiconductor Substances 0.000 description 10
- 239000003795 chemical substances by application Substances 0.000 description 7
- 229910000765 intermetallic Inorganic materials 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 239000003595 mist Substances 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 230000000295 complement effect Effects 0.000 description 3
- 230000006378 damage Effects 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- 239000002210 silicon-based material Substances 0.000 description 3
- 238000000992 sputter etching Methods 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 2
- 239000003518 caustics Substances 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- 238000004377 microelectronic Methods 0.000 description 2
- 238000001259 photo etching Methods 0.000 description 2
- 230000000704 physical effect Effects 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 230000001681 protective effect Effects 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- 238000004026 adhesive bonding Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- WYEMLYFITZORAB-UHFFFAOYSA-N boscalid Chemical compound C1=CC(Cl)=CC=C1C1=CC=CC=C1NC(=O)C1=CC=CN=C1Cl WYEMLYFITZORAB-UHFFFAOYSA-N 0.000 description 1
- 239000002800 charge carrier Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 239000007792 gaseous phase Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- 239000003507 refrigerant Substances 0.000 description 1
- 238000001771 vacuum deposition Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28123—Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28035—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/942—Masking
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Electrodes Of Semiconductors (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
本发明揭示了一种使用含溴的等离子体刻蚀金属和/或金属化合物的方法。在一个实施例中,本方法用于制作场效应晶体管的栅极,其中栅电极采用氮化钛材料,栅极介质采用超薄(10~20埃)二氧化硅材料,上接触层采用多晶硅材料。在进一步的实施例中,栅电极有选择性的被横向刻蚀成预定宽度。
Description
相关申请的交叉参考
本申请要求了提交于2003年8月10日的60/486,220号美国专利申请以及提交于2003年9月30日的60/507,597号美国专利申请的优先权,这两项申请作为本发明的参考。
技术领域
本发明涉及在半导体基片上制作器件的工艺制作方法。特别涉及栅极为金属或者金属化合物的场效应晶体管的制作方法。
背景技术
微电子器件一般制作在半导体基片上,形成集成电路,其中多层导电层相互连通,便于电子信号在器件内传播。这种器件的一个例子是互补型金属氧化物半导体(CMOS)场效应晶体管。
CMOS晶体管包括一个制作在半导体基片之上位于漏极和源极之间的栅极区。栅极区通常包括栅电极和栅极介质。栅电极制作在栅极介质之上,控制漏极和源极之间位于栅极介质之下的载流子通道。栅极介质由一层薄薄的(比如10~50埃)介质材料构成,介电常数大约为4.0或者更高(比如二氧化硅SiO2、氮氧化硅SiON、氧化铪HfO2等等)。
在性能优异的CMOS晶体管中,栅电极一般由下列金属(比如钛Ti、钽Ta、钨W等等)以及导电金属化合物(比如氮化钛TiN、氮化钽TaN、氮化钨WN等等)中的一种构成。在这里,栅电极一般是指包含有金属的栅电极。通常,包含有金属的栅电极包括一层上接触层(比如高掺多晶硅),以降低CMOS晶体管的栅极和集成电路导线之间的串连阻抗。使用金属或者金属化合物替代常规多晶硅材料,有利于降低和多晶硅耗尽效应相关的电压降,从而提高电流驱动特性,提高CMOS晶体管的工作速度。
在制作金属栅极的刻蚀工艺过程中,可能会因为栅极介质层的腐蚀选择性差而损坏晶体管的栅极介质。另外,栅极的多晶硅介质刻蚀工艺可能会残留一些聚合物杂质。去除这些残留物一般使用常规腐蚀剂(比如氯气Cl2、溴化氢HBr等),这种工艺控制难度大,容易损坏栅极介质层。使用上述刻蚀工艺和残留物去除工艺制作金属栅极结构成品率低,和常规场效应晶体管工艺相比需要额外的工艺设备,因此增加了微电子器件和集成电路的工艺成本。
因此,有必要提出一种新型的金属栅极场效应晶体管的制作工艺。
发明内容
本发明提出一种新型的金属(比如刻蚀钛Ti、钽Ta、钨W等等)以及金属化合物(比如氮化钛TiN、氮化钽TaN、氮化钨WN等等)刻蚀工艺,对于介电常数为4.0或者更高的栅极介质(比如二氧化硅SiO2、氮氧化硅SiON等等)而言,具有很高的腐蚀选择性。在一个实施例中,使用一种含溴的混合气体(比如溴化氢HBr),在腐蚀栅极金属时具有很高的选择性。
在另一个实施例中,本方法用来制作金属栅极场效应晶体管(比如金属栅材料是钛Ti、钽Ta、钨W、氮化钛TiN、氮化钽TaN、氮化钨WN等等)。本方法包括腐蚀可选的多晶硅欧姆接触层,去除腐蚀残留物,腐蚀栅极金属时对于栅极介质(比如二氧化硅SiO2、氮氧化硅SiON等等)而言具有很高的腐蚀选择比。
在另一个实施例中,本方法用于制作栅极结构,它包括多晶硅上接触层、氮化钛金属栅极层和超薄二氧化硅介质层(大约10~20埃厚)。在另一个实施例中,栅极金属被刻蚀成指定的宽度。在更进一步的实施例中,刻蚀工艺和残留物去除工艺使用同一种腐蚀液,也就是同步腐蚀(in-situ)。
附图说明
结合附图,阅读完下面的实施例之后,本发明的上述以及其他目的和特征将都变的一目了然:
图1是本发明揭示的场效应晶体管的制作工艺流程图;
图2A-2I是图1所揭示的场效应晶体管的制作工艺的基片截面示意图;以及
图3是本发明所使用的典型的等离子设备的示意图。
为了便于理解,在图中,器件的相同部分采用相同的标号。
需要注意的是尽管本发明是通过典型的实施例描述的,这不应该就认为它是本发明的所有内容或内涵,可以在不违背原始内涵的情况做类似推广。
具体实施方式
本发明揭示了一种刻蚀金属(比如钛Ti、钽Ta、钨W等)和/或金属化合物(比如氮化钛TiN、氮化钽TaN、氮化钨WN等)的方法,对于介电常数为4.0或者更高的栅极介质(比如二氧化硅SiO2、氮氧化硅SiON等等)而言,具有很高的腐蚀选择比。本方法可以用来制作超大规模集成(ULSI)半导体器件和电路。
本发明也包括场效应晶体管的制作方法,比如互补型金属氧化物半导体(CMOS)场效应晶体管,这种场效应晶体管包含金属栅电极和超薄栅极介质层(比如10~20埃)。
图1是本发明揭示的互补型金属氧化物半导体(CMOS)场效应晶体管的其中一个实施例的制作工艺流程图。工艺流程100包含了在栅极制作工艺过程中,在薄膜层上所做的工艺。
图2A-2I是图1所揭示的场效应晶体管的制作工艺100的基片截面示意图。图2A-2I分别是栅极制作工艺的独立工艺步骤。为了更好理解本发明,读者应该同时参照图1和图2A-2I。光刻工艺及其子工艺(比如曝光、涂胶、清洗基片等)都属于常规工艺,所以在图1和图2A-2I中没有标出。图2A-2I中所给出的图片仅仅是个示意图,其尺寸和实际规格不一定成比例。
图1所示的制作工艺100,步骤如下。起始步骤101,接着是步骤102,参照图2A,在基片200上生长场效应晶体管的结构层202(在图示显示为膜层)。在基片200(比如硅基片)上有两个区域232和234(在图中都用虚线表示),其中这两个区域是生长完晶体管结构层后利用离子注入的方法形成的源区和漏区。每个晶体管的源区和漏区232,234都由沟道236隔开。
膜层202通常包括接触层210,栅电极层206,栅极介质层204。在一些实施例中,接触层210可以省略。因此,接触层210是可选项。一般,接触层210采用掺杂多晶硅材料,厚度500~6000埃。在一个典型的实施例中,膜层202包括多晶硅接触层210。
栅电极层206可能至少包括一种金属(比如钛Ti、钽Ta、钨W等)以及金属化合物(比如氮化钛TiN、氮化钽TaN、氮化钨WN等)。在一个典型的实施例中,栅电极层206采用氮化钛TiN材料,厚度50~300埃。栅极介质层204通常采用二氧化硅SiO2,氮氧化硅SiON等材料,或其组合。作为选择,介质层204可能包括高K材料,比如氧化铪HfO2,氧化铪硅HfSiO2、氮氧化铪硅HfSiON等或其组合。在本文中,介电常数超过4.0的介质是指高K材料。在一个典型的实施例中,栅极介质层204采用二氧化硅材料,厚度10~20埃。值得注意的是,在不同的实施例中,膜层202可以采用不同的材料,采用不同的厚度。
膜层202的生长方法可以是任何常规真空镀膜技术,比如原子沉积(ALD)、物理气相外延(PVD)、化学气相外延(CVD)、等离子体增强型化学气相沉积(PECVD)等等。膜层的生长设备可以采用座落在加利福尼亚州圣塔克莱拉的应用材料有限公司的CENTURA,、ENDURA或者其他半导体设备。
步骤104,在220区的接触层210上光刻掩模,形成掩模层214,如图2B。掩模层214定义了栅电极的位置和尺寸。在图中所示的实施例中,掩模层214保护了通道区236以及部分源区和漏区(也就是232,234区),露出202的相邻区222。
掩模层214通常采用硬式掩模,掩模层保护的区域免受202层腐蚀液的腐蚀作用。这种材料通常包括高K介质材料(比如氧化铪HfO2、氧化铪硅HfSiO2、氮氧化铪硅HfSiON)、二氧化硅、氮化硅、氮氧化硅材料等。掩模层214通常采用牺牲光刻掩模技术(在图中没有标出),曝光部分显影定影后去除。掩模层可能还包括防反射层(ARC),控制牺牲光刻层的反射率。通常ARC层采用二氧化硅、氮化硅、氮氧化硅材料等等。在另一个实施例中,为了减小图形的尺寸,牺牲光刻掩模层使用等离子刻蚀的方法形成。在进一步的实施例中,掩模层214采用ARC材料。在一个典型的实施例中,掩模层214采用二氧化硅ARC材料,厚度500~2500埃。
有关掩模层214的制作方法可以参考2002年8月12日提交的(律师案卷号7454)10/218,244号美国专利申请和2002年9月16日提交的(律师案卷号7524)10/245,130号美国专利申请。
步骤106,刻蚀接触层210,去除222区域,见图2C。接触层210的留下部分构成上接触层212(比如多晶硅上接触层)。步骤106采用掩模层214作为腐蚀保护层,栅电极层206(比如氮化钛)作为腐蚀停止层。在一个实施例中,步骤106采用等离子体刻蚀工艺,包括主刻蚀(mainetching)106a、软沉淀(soft landing) 106b和过腐蚀(overetch)106c。这种等离子体刻蚀工艺减小了腐蚀残留物213(在图2C表示为点线)。在一个典型的实施例中,为了去除接触层210的222区,步骤106仅包括主刻蚀106a。因此,106b和106c是可选项。在一个实施例中,106a-106c使用同一个反应器或者in-situ。
在一个实施例中,主刻蚀106a的刻蚀速度很快,去除多晶硅接触层210露出的大约30~70%部分,软沉淀106b工艺去除了多晶硅接触层210中露出的剩余部分。在本实施例中,过腐蚀106c去除残留的多晶硅,包括露出的栅电极层206的小部分。每个腐蚀期106a-106c的时间长短由工艺处理时间、等离子体特定的发射波长、激光干涉以及其他终端技术来控制。步骤106可以在一个反应器中进行,比如CENTURA系统的Decoupled Plasma Source(DPS)II。DPS II模块(参照图3,下文有详细描述)使用一种感应源(也就是天线),产生高密度的等离子体,组成基片(也就是阴极)偏压源。
为腐蚀多晶硅层210,主刻蚀106a可能使用以下其中一种气体(或其混合物),包括氯气Cl2、溴化氢HBr、含氟气体(比如四氟化碳CF4、三氟化氮NF3等等),还包括可选气体,比如氮气N2、氦气He、氧气O2或者氦气-氧气He-O2以及惰性气体或其组合(比如氩气Ar、氖气Ne等等)或者上述气体的混合物。在本文中,术语“气体”和“气体混合物”可以交换使用。
在一个典型的实施例中,主刻蚀106a刻蚀多晶硅接触层210采用DPS II系统,刻蚀气体包括溴化氢HBr,流量20~300sccm;氯气Cl2,流量20~300sccm(也就是说HBr∶Cl2的流量比在1∶15和15∶1之间);氧气O2,流量0~200sccm,感应功率200~3000W,阴极偏压功率0~300W,基片温度控制在20℃和80℃之间,腔体压力为2~100mTorr。具体的例子如下:HBr流量150sccm,Cl2流量150sccm(HBr和Cl2的流量比为1∶1),CF4流量0sccm(也就是说HBr和CF4的流量比为1∶0),O2流量20sccm,感应功率400W,阴极偏压功率100W,基片温度65℃,腔体压力8mTorr。
软沉淀106b刻蚀工艺主要负责刻蚀并去除步骤106a中残留的多晶硅层。软沉淀106b刻蚀工艺与106a类似,唯一不同的是含氟气体(也就是四氟化物)的流量有一定的选择性。在一个典型的实施例中,在106b刻蚀期间没有使用含氟气体。
过腐蚀106c主要是分别去除106a和106b刻蚀期间残留的接触层210。在进一步的实施例中,在过腐蚀106c可能去除222区中的一小部分的栅电极层206。在过腐蚀106c,刻蚀配方和106a使用的配方类似,唯一不同的是含氟气体和含氯气体的流量有一定的选择性。在一个典型的实施例中,在106c刻蚀期间没有使用含氟气体和含氯气体。这是刻蚀工艺具有很高的选择性,多晶硅(膜层210)和氮化钛(膜层206)的刻蚀速率比至少100∶1;多晶硅和氧化硅(掩模层214),它们的刻蚀速率比大约为80∶1。
完成步骤106刻蚀工艺后,在栅电极层206的表面215上,掩模层214,上接触层212的侧面217,以及基片200的其它部分留下腐蚀后的残留物213。腐蚀后的残留物213(比如SiOx/TiOx,其中x为整数),对栅电极层206的刻蚀剂有阻挡作用(详细细节请参照步骤108),因此必须去除表面215上的残留物。侧壁217上的残留物213对栅极的制作并没有害处。腐蚀栅电极层206期间,腐蚀残留物213可以用做掩模保护层,保护侧壁217不被侧向腐蚀。此后,应该去除侧壁217上的残留物213,比如完成栅极介质层204的刻蚀工艺后(详细细节请参照步骤112)。
步骤107,去除栅电极层206表面215上的残留物213(见图2D)。同时,步骤107还去除掩模层212上表面的残留物213,同时减薄或者去除上接触层212的侧壁217上的残留物213。在一个实施例中,步骤107采用优异的物理性等离子体刻蚀工艺(也就是溅射刻蚀工艺)。溅射刻蚀工艺具有很高的方向性,因此能够在去除残留物213的过程中保护侧壁217,减小腔体的化学污染。去除残留物213时,溅射刻蚀工艺可以使用惰性气体(比如氩气Ar、氖气Ne等等,或其混合物),或者是惰性气体和备选气体的混合物(比如氯气、溴化氢等等)。107工艺的持续时间可以根据刻蚀时间,等离子体的特定反射波长,以及其他终点技术来控制。在一个实施例中,步骤106和107在同一个反应器中完成。
在图2E所示的备选实施例中,在物理性等离子体刻蚀工艺107,栅电极层206的222区需要被刻蚀到预定的深度219。在本实施例中,步骤107可以被看作步骤108的软沉淀108a(详细细节请参照下图2F-2G)。
在一个典型的实施例中,残留物213使用DPS II去除,气体流量分别为氩气Ar 20~400sccm,溴化氢HBr 0~400sccm(也就是说Ar∶HBr流量比为1∶20~1∶0),感应功率200~3000W,阴极偏压功率大约为0~300W,基片温度控制在20℃和80℃之间,腔体压力为2~100mTorr。具体的例子如下:Ar流量200sccm,HBr流量30sccm,感应功率1000W,阴极偏压功率100W,基片温度65℃,腔体压力4mTorr。
步骤108,刻蚀并去除栅电极层206的222部分(参照图2F和2G)。栅电极层206的剩余部分构成栅电极216(比如氮化钛栅电极)。在步骤108期间,掩模层214被用作刻蚀阻挡层,栅极介质层204被用作腐蚀停止层。在一个实施例中,步骤108采用等离子体刻蚀工艺,包括软沉淀108a,过腐蚀108b,备选的切口(notching,横向刻蚀)工艺108c。在一个备选的实施例中,为去除栅电极层206中的222部分,步骤108仅采用软沉淀108a工艺。在一个实施例中,步骤107和步骤108在同一个反应器中进行。
在一个实施例中,软沉淀108a工艺以一个很高的刻蚀速率去除栅电极层206露出部分的30~90%,过腐蚀108b工艺去除栅电极层206露出的剩余部分(见图2F)。进一步,备选的切口工艺108c把栅电极层216腐蚀成指定的宽度211(见图2G)。在一个实施例中,完成108b工艺后实施108c工艺。作为备选(在图中为标出),108b工艺也可以在108c工艺完成后实施。108a~108c工艺的持续时间根据刻蚀时间,等离子体的特定反射波长,激光干涉,以及其他终点技术来控制。在一个实施例中,108a~108c工艺使用同样的刻蚀剂和同样的工艺参数,唯一不同的是阴极偏压功率有所调整。
为刻蚀栅电极层206,刻蚀剂采用气体混合物,至少包含一种含溴气体(比如溴化氢、溴气Br2等等)。混合气体还可以进一步包括备选气体,比如氯气Cl2、氧气O2、氮气N2、氦气He和氧气O2的混合气体,或者氦气-氧气He-O2,以及惰性气体或其混合气体(比如氩气Ar、氖气Ne、氦气He等等),或其组合。
这种刻蚀栅极金属用的刻蚀剂对于栅极介质204(比如SiO2、SiON,高K介质,比如HfO2、HfSiO2、HfSiON等)而言,具有很高的腐蚀选择性。特别的,步骤108刻蚀氮化钛时具有很高的选择性,比如针对于栅极介质二氧化硅,氮氧化硅,氧化铪,选择比至少分别为30∶1,50∶1,和100∶1,刻蚀Ti,Ta,TaN时针对于上述栅极介质,选择比也至少分别为30∶1,50∶1,和100∶1。
步骤108刻蚀期间,刻蚀的方向性可以通过控制阴极(也就是基片)偏压功率来实现。特别的,当阴极功率降低或者为零时,刻蚀栅电极层206的方向性减弱。通常,软沉淀108a刻蚀时施加的阴极功率比过刻蚀108b或切口刻蚀工艺108c时施加的功率高的多。可选的108c工艺,通常不施加阴极功率,便于横向刻蚀(也就是切口工艺)栅电极层216(见图2G)。横向刻蚀栅电极层216时,栅极介质层204具有很高的刻蚀选择比,因此介质层204可以作为刻蚀自停止层。通过控制,可以把栅电极216刻蚀成指定的宽度211,比如控制刻蚀时间。
在一个典型的实施例中,在软沉淀108a刻蚀期间,氮化钛TiN栅电极层206使用DPS II设备刻蚀,刻蚀剂包括溴化氢HBr,流量50~400sccm,氯气Cl2,流量0~400sccm(也就是说HBr∶Cl2流量比为1∶8~1∶0),氦气He,流量0~400sccm,感应耦合天线功率300~3000W,阴极偏压功率大约为0~300W,基片温度控制在20℃和90℃之间,腔体压力为4~80mTorr。具体的例子如下:HBr流量400sccm,He流量200sccm,感应功率1000W,阴极偏压功率为10W,基片温度控制在65℃,腔体压力为10mTorr。
这种刻蚀工艺氮化钛(膜层206)和氧化硅(膜层204和掩模层214)具有很高的选择比,至少100∶1,刻蚀氮化钛和氧化铪(可选的膜层204)的选择比也大约为100∶1。氮化钛的横向刻蚀108c的速率大约为1~10nm/min。
在一个典型的实施例中,过腐蚀108b工艺和横向刻蚀108c工艺所采用的刻蚀配方和108a一样,唯一不同的是阴极功率有所调整。在一个实施例中,过腐蚀108b选取的阴极功率为0~300W(典型值为10W),横向刻蚀工艺108c选取的阴极功率为零。步骤108,除了对栅极介质204有很高的刻蚀选择比之外,对于多晶硅也有很高的刻蚀选择比,因此,上接触层212的尺寸能够保持精确。
步骤110,栅极介质层204中的222部分被刻蚀,并被去除(见图2H)。栅极介质层204中的剩余部分构成CMOS晶体管的栅极介质218(比如SiO2,SiON,或者高K栅极介质)。在步骤110中,掩模层214用作刻蚀掩模层,基片200作为腐蚀自停止层。
在一个典型的实施例中,栅极介质层204是氧化硅材料,使用DPS II设备刻蚀,刻蚀剂包括四氟化碳CF4,流量40~80sccm,CHF3,流量10~30sccm(也就是说CF4∶CHF3流量比为4∶3~8∶1),氩气Ar,流量40~80sccm,感应功率200~3000W,阴极偏压功率大约为0~300W,基片温度控制在15℃和80℃之间,腔体压力为5~40mTorr。具体的例子如下:CF4流量60sccm,CHF3流量20sccm(也就是说CF4∶CHF3流量比3∶1),Ar流量60sccm,感应功率1000W,阴极偏压功率为50W,基片温度控制在80℃,腔体压力为10mTorr。
在另一个典型的实施例中,栅极介质层204是氧化铪材料,使用DPSII设备刻蚀,刻蚀剂包括氯气Cl2,流量2~200sccm,一氧化炭CO,流量2~200sccm(也就是说Cl2∶CO流量比为1∶5~5∶1),感应功率200~3000W,阴极偏压功率大约为0~300W,基片温度控制在200℃和350℃之间,腔体压力为2~100mTorr。具体的例子如下:Cl2流量40sccm,CO流量40sccm(也就是说Cl2∶CO流量比大约为1∶1),感应功率1100W,阴极偏压功率大约为20W,基片温度控制在350℃,腔体压力为4mTorr。
步骤112,去除上接触层212的掩模层214(见图2I),其为可选步骤。在一个典型的实施例中,氧化硅掩模层214的腐蚀剂采用稀释的氧化物腐蚀液(BOE)。有关这种BOE腐蚀液,请参照2002年8月12日提交的10/218,244号美国专利申请。侧壁217上的残留物213可以采用多种方法去除,比如常规的热磷酸浴。
场效应晶体管工艺100结束于步骤114。
步骤106~110可以使用同一个反应器(也就是in-situ),从而提高了CMOS晶体管的生产效率。在进一步的实施例中,步骤104中分步骤,包括光刻曝光,刻蚀防反射层ARC,也可以使用同一个反应器(比如CENTURA系统的DPS II设备)。因此,本工艺可以并入现有的场效应晶体管(比如CMOS场效应晶体管)流水线工艺,还可以用于其他含金属或其化合物的器件制作。
图3描绘了本发明所使用的典型的DPS II刻蚀反应器300的结构示意图。DPS II刻蚀反应器是CENTURA集成半导体处理系统中的一个模块。反应器300通常包括反应腔310,腔里有基片支架316,导电侧壁330,控制器340。
在一个实施例中,反应腔310有一个非常平的介质顶板320。其他改装过的反应腔310可能还有其他类型的顶板,比如原屋顶型的顶板。顶板320上的上面是天线,至少包含一组感应线圈312(本图所表示的是两个同轴元件312)。通过第一个匹配网络319,感应线圈312和等离子体供应电源318相耦合。一般,等离子体电源必须至少能够提供3000W功率,频率范围从50kHz~13.56MHz。
通过第二个匹配网络324,支架(阴极)316和偏压功率源322相耦合。在一个实施例中,偏压功率源322至少能够提供500W的功率,频率范围大约为13.56MHz,能够提供连续式或者脉冲式功率。在另一个实施例中,功率源322可以是直流源或者直流脉冲源。通常,等离子体功率源318和偏压功率源322的地线,以及腔的侧壁330都和反应器300的地线334相耦合。
使用时,把基片(比如半导体基片)314放在支架316上,反应气体由气体面板338供应,通过管口326,形成气体混合物350。等离子体电源318和偏压功率源322分别向感应线圈312和阴极316施加功率,腔体310里的气体混合物350在感应信号的点燃作用下,产生等离子体355。腔体310里的内部压力由节流阀327和真空泵336控制。侧壁330的温度由侧壁上流过的制冷液体控制(在图示未标出)。
在一个实施例中,基片314的温度由支架316控制。在本实施例中,氦气从气源348流出,经过管道349,流过基片314下面的支架表面。氦气用于基片314和支架316之间导热用。在工艺处理过程中,可能对支架3 16有选择的加热(比如使用加热器,在图中未标出),把支架控制在一个恒定的温度,此时,氦气就有利于保证基片314的加热均匀性。使用这种热控制设备,基片314可以稳定保持在10℃~350℃。
本领域的普通技术人员都知道实施本发明可以采用其他刻蚀反应器,包括远程控制等离子体源、电子回旋共振等离子体(ECR)反应器等等。
控制器340包括一个中央处理器(CPU)344、内存342、CPU344的支持电路346,方便于控制刻蚀反应器300的部件和反应进程。为方便于控制反应器300,控制器340可以采用任何一款工业通用的计算机处理器,对不同腔和子处理器进行控制。内存342,或者是计算机可读介质,可以采用任何一款数字存储器,比如随机访问存储器(RAM)、只读存储器(R0M)、软盘、硬盘等等,可以是本地或远程。支持电路346通常包括缓存、时钟电路、输入输出电路和子系统、电源以及能和CPU344相连并支持CPU的其他通用部件。本发明的控制程序作为软件存储在内存342中。软件程序也可以存储在由CPU344控制的远程终端或由第二CPU执行。
本发明的实施方式可以采用工艺实现,其工艺参数可以根据本发明所揭示的内涵,由本领域普通技术人员进行适当调整,从而获得相当的性能指标。尽管本发明的上述讨论针对于场效应晶体管,有关集成电路用的其他器件或结构的制作也能从本发明中得到启示。
尽管本发明是通过各个实施例描述的,这不应该就认为它是本发明的所有内容或内涵,可将本申请的权利要求解释成涵盖在本发明原始精神与领域下的所有改变与修正。
Claims (42)
1.一种场效应晶体管的栅极结构的制作方法,其特征在于包括:
(a)提供一基片,在其栅极介质层上有一层金属栅电极层;
(b)在金属栅电极层上形成一层掩模层,掩模层定义了栅极的位置和尺寸;
(c)使用含溴气体的等离子体刻蚀金属栅电极层;以及
(d)刻蚀栅极介质层。
2.根据权利要求1所述的方法,其特征在于,其中金属栅电极层至少包括下列中的一种:钛Ti、钽Ta、钨W、氮化钛TiN、氮化钽TaN和氮化钨WN。
3.根据权利要求1所述的方法,其特征在于,其中栅极介质层至少包括下列中的一种:二氧化硅SiO2、氮氧化硅SiON、氧化铪HfO2、氧化铪硅HfSiO2和氮氧化铪硅HfSiON。
4.根据权利要求1所述的方法,其特征在于,其中含溴气体至少包括下列中的一种:溴化氢HBr和溴气Br2。
5.根据权利要求1所述的方法,其特征在于,等离子体至少还包括下列中的一种:氯气Cl2、氧气O2、氦气-氧气(He-O2)、氮气N2、氩气Ar、氖气Ne和氦气He。
6.根据权利要求4所述的方法,其特征在于,步骤(c)进一步包括:
提供溴化氢和氯气,并控制溴化氢HBr和氯气Cl2的流量比在1∶8~1∶0之间。
7.根据权利要求5所述的方法,其特征在于,其中步骤(c)进一步包括:
提供溴化氢和氯气,并控制溴化氢HBr和氯气Cl2的流量比在1∶8~1∶0之间;
保持基片的温度在20℃和90℃之间;
供应感应耦合天线功率大约为300~3000W;
提供大约为0~300W的基片偏压功率;以及
保持腔压大约为4~80mTorr。
8.根据权利要求1所述的方法,其特征在于,其中步骤(c)进一步包括软沉淀工艺和过腐蚀工艺,其中过腐蚀工艺在软沉淀工艺之后,这两种工艺采用不用的处理时间和基片偏压功率。
9.根据权利要求8所述的方法,其特征在于,其中步骤(c)进一步包括横向刻蚀金属栅电极工艺,形成一定宽度的栅电极,其中基片偏压功率为零。
10.根据权利要求9所述的方法,其特征在于,其中横向刻蚀工艺是在软沉淀工艺完成后实施。
11.根据权利要求9所述的方法,其特征在于,其中横向刻蚀工艺是在过腐蚀工艺完成后实施。
12.根据权利要求1所述的方法,其特征在于,其中金属栅电极层进一步包括位于掩模层下的接触层。
13.根据权利要求12所述的方法,其特征在于,其中接触层包括多晶硅(Si)材料。
14.根据权利要求13所述的方法,其特征在于,接触层由含有Cl2,HBr和含氟气体中至少一种的等离子体刻蚀。
15.根据权利要求14所述的方法,其特征在于,其中含氟气体至少包括下列中的一种:四氟化碳CF4和三氟化氮NF3。
16.根据权利要求14所述的方法,其特征在于,其中等离子体进一步至少包括下列中的一种:氮气N2、氦气-氧气He-O2、氩气Ar和氖气Ne。
17.根据权利要求14所述的方法,其特征在于,进一步包括:提供溴化氢HBr和氯气Cl2,并控制溴化氢HBr和氯气Cl2的流量比在1∶15~15∶1之间;以及
提供溴化氢和四氟化碳CF4,并控制溴化氢和四氟化碳的流量比在1∶5~1∶0之间。
18.根据权利要求16所述的方法,其特征在于,进一步包括:
提供溴化氢和氯气,并控制溴化氢HBr和氯气Cl2的流量比在1∶15~15∶1之间;
提供溴化氢和四氟化碳CF4,并控制溴化氢和四氟化碳的流量比在1∶5~1∶0之间;
保持基片的温度在20℃和90℃之间;
供应感应耦合天线功率大约为300~3000W;
提供大约为0~300W的基片偏压功率;以及
保持腔压大约为4~80mTorr。
19.根据权利要求14所述的方法,其特征在于,进一步包括:
在主刻蚀期间启用含氟气体;以及
在软沉淀期间关闭含氟气体。
20.根据权利要求19所述的方法,其特征在于,进一步包括软沉淀工艺后的过腐蚀工艺,其具有与软沉淀工艺不同的处理时间、含氟气体流量和氯气流量。
21.根据权利要求20所述的方法,其特征在于,其中在过腐蚀期间,含氟气体和氯气的流量为零。
22.根据权利要求14所述的方法,其特征在于进一步包括:
使用至少包含氩气Ar和氖气Ne之一的等离子体去除刻蚀残留物。
23.根据权利要求22所述的方法,其特征在于,其中等离子体还至少包括氯气Cl2和溴化氢HBr之一。
24.根据权利要求23所述的方法,其特征在于进一步包括:
提供溴化氢和氩气,并控制溴化氢HBr和氩气Ar的流量比在1∶20~1∶0之间。
25.根据权利要求1所述的方法,其特征在于,其中步骤(d)使用至少包括四氟化碳CF4和三氟甲烷CHF3之一的等离子体。
26.根据权利要求1所述的方法,其特征在于,其中步骤(d)使用至少包括氯气Cl2和一氧化碳CO之一的等离子体。
27.根据权利要求1所述的方法,其特征在于,其中掩模层材料至少包含下列之一:二氧化硅SiO2、氮氧化硅SiON、氧化铪HfO2、氮化硅Si3N4、氧化铪硅HfSiO2和氮氧化铪硅HfSiON。
28、根据权利要求1所述的方法,其特征在于,其中步骤(d)进一步包括:
刻蚀栅极介质层后,去除掩模层。
29、根据权利要求28所述的方法,其特征在于,其中步骤(d)进一步包括:
去除掩模层后,去除刻蚀残留物。
30、根据权利要求29所述的方法,其特征在于进一步包括:
至少使用稀释的氧化物腐蚀液和热磷酸之一去除掩模层。
31、根据权利要求1所述的方法,其特征在于,其中步骤(c)和(d)在同一个反应器中进行。
32、一种刻蚀含金属的膜层的方法,其特征在于包括:
在一个基片的介质层上生长有含金属的膜层;以及
使用含溴的等离子体刻蚀金属膜层。
33、根据权利要求32所述的方法,其特征在于,含金属的膜层至少包括下列中的一种:钛Ti、钽Ta、钨W、氮化钛TiN、氮化钽TaN和氮化钨WN。
34、根据权利要求32所述的方法,其特征在于,介质材料至少包括下列中的一种:二氧化硅SiO2、氮氧化硅SiON、氧化铪HfO2、氧化铪硅HfSiO2和氮氧化铪硅HfSiON。
35、根据权利要求32所述的方法,其特征在于,其中含溴气体至少包括下列中的一种:HBr和Br2。
36、根据权利要求32所述的方法,其特征在于,其中等离子体进一步包括下列中的一种:氯气Cl2、氧气O2、氦气-氧气(He-O2)、氮气N2、氩气Ar、氖气Ne以及氦气He。
37、根据权利要求36所述的方法,其特征在于,其中刻蚀工艺进一步包括:
提供HBr和Cl2,并控制HBr和Cl2的流量比在1∶8~1∶0之间。
38、根据权利要求36所述的方法,其特征在于,其中刻蚀工艺进一步包括:
提供HBr和Cl2,并控制控制HBr和Cl2的流量比在1∶8~1∶0之间;
基片的温度控制在20℃和90℃之间;
供应感应耦合天线功率大约为300~3000W;
提供大约为0~300W的基片偏压功率;以及
保持腔压大约为4~80mTorr。
39、根据权利要求32所述的方法,其特征在于,其中刻蚀工艺进一步包括软沉淀刻蚀和过腐蚀,其中过腐蚀工艺在软沉淀刻蚀完成后实施,这两种工艺采用不同的工艺持续时间和基片偏压功率。
40、根据权利要求32所述的方法,其特征在于,其中含金属的膜层是光刻掩模后的金属膜层。
41、根据权利要求40所述的方法,其特征在于,其中刻蚀工艺进一步包括横向刻蚀金属膜层,其中基片偏压功率为零。
42、根据权利要求41所述的一种刻蚀含金属的膜层的方法,其特征在于,其中横向刻蚀工艺在软沉淀刻蚀工艺或者过腐蚀工艺后实施。
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US48622003P | 2003-07-10 | 2003-07-10 | |
US60/486,220 | 2003-07-10 | ||
US50759703P | 2003-09-30 | 2003-09-30 | |
US60/507,597 | 2003-09-30 | ||
US10/831,406 | 2004-04-23 | ||
US10/831,406 US7368392B2 (en) | 2003-07-10 | 2004-04-23 | Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1577751A true CN1577751A (zh) | 2005-02-09 |
CN100514564C CN100514564C (zh) | 2009-07-15 |
Family
ID=33568629
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB2004100626558A Expired - Fee Related CN100514564C (zh) | 2003-07-10 | 2004-07-08 | 金属栅极场效应晶体管的栅极结构的制作方法 |
Country Status (4)
Country | Link |
---|---|
US (1) | US7368392B2 (zh) |
KR (1) | KR101095416B1 (zh) |
CN (1) | CN100514564C (zh) |
TW (1) | TWI346388B (zh) |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101894750A (zh) * | 2010-05-28 | 2010-11-24 | 上海集成电路研发中心有限公司 | 干法刻蚀TaN电极的方法 |
CN102082097A (zh) * | 2009-11-09 | 2011-06-01 | 凹凸电子(武汉)有限公司 | 沟槽金属氧化物半导体场效应晶体管及其制造方法和功率转换系统 |
US8138076B2 (en) | 2008-05-12 | 2012-03-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | MOSFETs having stacked metal gate electrodes and method |
CN102456569A (zh) * | 2010-10-27 | 2012-05-16 | 中国科学院微电子研究所 | 一种栅极刻蚀的方法 |
CN102693906A (zh) * | 2012-06-11 | 2012-09-26 | 上海宏力半导体制造有限公司 | 削弱侧壁再沉积的方法、刻蚀方法及半导体器件制造方法 |
CN101924035B (zh) * | 2008-08-22 | 2013-05-29 | 台湾积体电路制造股份有限公司 | 形成半导体元件及其栅极结构的方法 |
CN108630526A (zh) * | 2018-05-03 | 2018-10-09 | 武汉新芯集成电路制造有限公司 | 一种改善层间介质层空洞的方法 |
CN109686663A (zh) * | 2018-12-27 | 2019-04-26 | 上海华力微电子有限公司 | 一种半导体结构及其制造方法 |
CN111627859A (zh) * | 2019-02-28 | 2020-09-04 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN112420507A (zh) * | 2019-08-21 | 2021-02-26 | 东京毅力科创株式会社 | 处理基板的方法、器件制造方法及等离子体处理装置 |
CN113283053A (zh) * | 2021-04-17 | 2021-08-20 | 山西潞安太阳能科技有限责任公司 | 一种晶硅电池pecvd镀膜工艺参数建立的方法 |
Families Citing this family (189)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7067439B2 (en) * | 2002-06-14 | 2006-06-27 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
US8119210B2 (en) * | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
KR100706780B1 (ko) * | 2004-06-25 | 2007-04-11 | 주식회사 하이닉스반도체 | 주변영역의 선폭을 줄일 수 있는 반도체 소자 제조 방법 |
US7297598B2 (en) * | 2005-01-28 | 2007-11-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process for erase improvement in a non-volatile memory device |
US7224630B2 (en) * | 2005-06-24 | 2007-05-29 | Freescale Semiconductor, Inc. | Antifuse circuit |
US7550381B2 (en) * | 2005-07-18 | 2009-06-23 | Applied Materials, Inc. | Contact clean by remote plasma and repair of silicide surface |
US20070056927A1 (en) * | 2005-09-14 | 2007-03-15 | Tsou Len Y | Process and system for etching doped silicon |
US7531461B2 (en) * | 2005-09-14 | 2009-05-12 | Tokyo Electron Limited | Process and system for etching doped silicon using SF6-based chemistry |
JP2007113103A (ja) | 2005-10-24 | 2007-05-10 | Tokyo Electron Ltd | 成膜方法、成膜装置及び記憶媒体 |
US7985688B2 (en) * | 2005-12-16 | 2011-07-26 | Lam Research Corporation | Notch stop pulsing process for plasma processing system |
US7709402B2 (en) * | 2006-02-16 | 2010-05-04 | Micron Technology, Inc. | Conductive layers for hafnium silicon oxynitride films |
US7678710B2 (en) * | 2006-03-09 | 2010-03-16 | Applied Materials, Inc. | Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system |
US7645710B2 (en) * | 2006-03-09 | 2010-01-12 | Applied Materials, Inc. | Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system |
US7837838B2 (en) * | 2006-03-09 | 2010-11-23 | Applied Materials, Inc. | Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus |
US20070254470A1 (en) * | 2006-04-27 | 2007-11-01 | Hynix Semiconductor Inc. | Method for fabricating a semiconductor device having a repair fuse |
US20070259111A1 (en) * | 2006-05-05 | 2007-11-08 | Singh Kaushal K | Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film |
US7351664B2 (en) * | 2006-05-30 | 2008-04-01 | Lam Research Corporation | Methods for minimizing mask undercuts and notches for plasma processing system |
KR100838527B1 (ko) * | 2006-07-31 | 2008-06-17 | 삼성전자주식회사 | 상변화 기억소자 형성 방법 |
US7718542B2 (en) * | 2006-08-25 | 2010-05-18 | Lam Research Corporation | Low-k damage avoidance during bevel etch processing |
US7544604B2 (en) * | 2006-08-31 | 2009-06-09 | Micron Technology, Inc. | Tantalum lanthanide oxynitride films |
US7776765B2 (en) * | 2006-08-31 | 2010-08-17 | Micron Technology, Inc. | Tantalum silicon oxynitride high-k dielectrics and metal gates |
US7605030B2 (en) | 2006-08-31 | 2009-10-20 | Micron Technology, Inc. | Hafnium tantalum oxynitride high-k dielectric and metal gates |
US7563730B2 (en) | 2006-08-31 | 2009-07-21 | Micron Technology, Inc. | Hafnium lanthanide oxynitride films |
US7759747B2 (en) * | 2006-08-31 | 2010-07-20 | Micron Technology, Inc. | Tantalum aluminum oxynitride high-κ dielectric |
US20080057659A1 (en) * | 2006-08-31 | 2008-03-06 | Micron Technology, Inc. | Hafnium aluminium oxynitride high-K dielectric and metal gates |
US7407597B2 (en) * | 2006-09-14 | 2008-08-05 | Lam Research Corporation | Line end shortening reduction during etch |
WO2008039845A2 (en) * | 2006-09-26 | 2008-04-03 | Applied Materials, Inc. | Fluorine plasma treatment of high-k gate stack for defect passivation |
US20080242072A1 (en) * | 2007-03-26 | 2008-10-02 | Texas Instruments Incorporated | Plasma dry etch process for metal-containing gates |
US20080286698A1 (en) * | 2007-05-18 | 2008-11-20 | Haoren Zhuang | Semiconductor device manufacturing methods |
US8778754B2 (en) | 2008-09-15 | 2014-07-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a single metal that performs N and P work functions in high-K/metal gate devices |
US8501628B2 (en) * | 2010-03-23 | 2013-08-06 | Tokyo Electron Limited | Differential metal gate etching process |
US9324576B2 (en) * | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
TWI550772B (zh) * | 2010-09-02 | 2016-09-21 | 聯華電子股份有限公司 | 互補式金氧半導體元件的製造方法 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US20120213941A1 (en) * | 2011-02-22 | 2012-08-23 | Varian Semiconductor Equipment Associates, Inc. | Ion-assisted plasma treatment of a three-dimensional structure |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
CN102176429A (zh) * | 2011-03-29 | 2011-09-07 | 上海宏力半导体制造有限公司 | 消除栅极侧壁再沉积的方法和半导体器件 |
US20120302070A1 (en) * | 2011-05-26 | 2012-11-29 | Nanya Technology Corporation | Method and system for performing pulse-etching in a semiconductor device |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9484220B2 (en) * | 2013-03-15 | 2016-11-01 | International Business Machines Corporation | Sputter etch processing for heavy metal patterning in integrated circuits |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9761489B2 (en) | 2013-08-20 | 2017-09-12 | Applied Materials, Inc. | Self-aligned interconnects formed using substractive techniques |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9640385B2 (en) * | 2015-02-16 | 2017-05-02 | Applied Materials, Inc. | Gate electrode material residual removal process |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN105244264A (zh) * | 2015-10-28 | 2016-01-13 | 株洲南车时代电气股份有限公司 | 一种复合栅结构电容及其制造方法 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) * | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US20220223421A1 (en) * | 2021-01-14 | 2022-07-14 | Changxin Memory Technologies, Inc. | Manufacturing method for semiconductor structure, and semiconductor structure |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6008133A (en) * | 1991-04-04 | 1999-12-28 | Hitachi, Ltd. | Method and apparatus for dry etching |
JP3088178B2 (ja) * | 1991-04-22 | 2000-09-18 | 日本電気株式会社 | ポリシリコン膜のエッチング方法 |
US5453156A (en) * | 1994-11-01 | 1995-09-26 | Taiwan Semiconductor Manufactoring Company Ltd. | Anisotropic polysilicon plasma etch using fluorine gases |
US5670404A (en) * | 1996-06-21 | 1997-09-23 | Industrial Technology Research Institute | Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer |
US6084279A (en) * | 1997-03-31 | 2000-07-04 | Motorola Inc. | Semiconductor device having a metal containing layer overlying a gate dielectric |
TW364162B (en) * | 1997-12-05 | 1999-07-11 | United Microelectronics Corp | Process for charge storage electrode structure |
US5948703A (en) * | 1998-06-08 | 1999-09-07 | Advanced Micro Devices, Inc. | Method of soft-landing gate etching to prevent gate oxide damage |
US6277716B1 (en) * | 1999-10-25 | 2001-08-21 | Chartered Semiconductor Manufacturing Ltd. | Method of reduce gate oxide damage by using a multi-step etch process with a predictable premature endpoint system |
KR100548546B1 (ko) * | 1999-12-17 | 2006-02-02 | 주식회사 하이닉스반도체 | 코발트 실리사이드를 이용한 반도체 소자의 게이트 전극형성 방법 |
EP1126513A1 (en) * | 2000-02-16 | 2001-08-22 | Semiconductor 300 GmbH & Co. KG | Process for planarization and recess etching of polysilicon in an overfilled trench |
JP2001308076A (ja) * | 2000-04-27 | 2001-11-02 | Nec Corp | 半導体装置の製造方法 |
US6440870B1 (en) * | 2000-07-12 | 2002-08-27 | Applied Materials, Inc. | Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures |
US6433871B1 (en) * | 2001-05-25 | 2002-08-13 | Advanced Micron Devices, Inc. | Method of using scatterometry measurements to determine and control gate electrode profiles |
US6933243B2 (en) * | 2002-02-06 | 2005-08-23 | Applied Materials, Inc. | High selectivity and residue free process for metal on thin dielectric gate etch application |
US6972265B1 (en) * | 2002-04-15 | 2005-12-06 | Silicon Magnetic Systems | Metal etch process selective to metallic insulating materials |
US6849518B2 (en) * | 2002-05-07 | 2005-02-01 | Intel Corporation | Dual trench isolation using single critical lithographic patterning |
US6919272B2 (en) * | 2003-02-01 | 2005-07-19 | Newport Fab, Llc | Method for patterning densely packed metal segments in a semiconductor die and related structure |
US6787854B1 (en) * | 2003-03-12 | 2004-09-07 | Advanced Micro Devices, Inc. | Method for forming a fin in a finFET device |
-
2004
- 2004-04-23 US US10/831,406 patent/US7368392B2/en not_active Expired - Fee Related
- 2004-06-23 TW TW093118049A patent/TWI346388B/zh not_active IP Right Cessation
- 2004-07-07 KR KR1020040052563A patent/KR101095416B1/ko not_active IP Right Cessation
- 2004-07-08 CN CNB2004100626558A patent/CN100514564C/zh not_active Expired - Fee Related
Cited By (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8138076B2 (en) | 2008-05-12 | 2012-03-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | MOSFETs having stacked metal gate electrodes and method |
CN101582379B (zh) * | 2008-05-12 | 2012-06-27 | 台湾积体电路制造股份有限公司 | 半导体装置、场效应晶体管及其栅极的制造方法 |
CN101924035B (zh) * | 2008-08-22 | 2013-05-29 | 台湾积体电路制造股份有限公司 | 形成半导体元件及其栅极结构的方法 |
CN102082097B (zh) * | 2009-11-09 | 2013-07-31 | 凹凸电子(武汉)有限公司 | 沟槽mosfet及其制造方法和功率转换系统 |
CN102082097A (zh) * | 2009-11-09 | 2011-06-01 | 凹凸电子(武汉)有限公司 | 沟槽金属氧化物半导体场效应晶体管及其制造方法和功率转换系统 |
CN101894750A (zh) * | 2010-05-28 | 2010-11-24 | 上海集成电路研发中心有限公司 | 干法刻蚀TaN电极的方法 |
CN102456569A (zh) * | 2010-10-27 | 2012-05-16 | 中国科学院微电子研究所 | 一种栅极刻蚀的方法 |
CN102693906B (zh) * | 2012-06-11 | 2017-03-01 | 上海华虹宏力半导体制造有限公司 | 削弱侧壁再沉积的方法、刻蚀方法及半导体器件制造方法 |
CN102693906A (zh) * | 2012-06-11 | 2012-09-26 | 上海宏力半导体制造有限公司 | 削弱侧壁再沉积的方法、刻蚀方法及半导体器件制造方法 |
CN108630526A (zh) * | 2018-05-03 | 2018-10-09 | 武汉新芯集成电路制造有限公司 | 一种改善层间介质层空洞的方法 |
CN108630526B (zh) * | 2018-05-03 | 2020-11-06 | 武汉新芯集成电路制造有限公司 | 一种改善层间介质层空洞的方法 |
CN109686663A (zh) * | 2018-12-27 | 2019-04-26 | 上海华力微电子有限公司 | 一种半导体结构及其制造方法 |
CN111627859A (zh) * | 2019-02-28 | 2020-09-04 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN112420507A (zh) * | 2019-08-21 | 2021-02-26 | 东京毅力科创株式会社 | 处理基板的方法、器件制造方法及等离子体处理装置 |
CN113283053A (zh) * | 2021-04-17 | 2021-08-20 | 山西潞安太阳能科技有限责任公司 | 一种晶硅电池pecvd镀膜工艺参数建立的方法 |
CN113283053B (zh) * | 2021-04-17 | 2022-09-30 | 山西潞安太阳能科技有限责任公司 | 一种晶硅电池pecvd镀膜工艺参数建立的方法 |
Also Published As
Publication number | Publication date |
---|---|
CN100514564C (zh) | 2009-07-15 |
TWI346388B (en) | 2011-08-01 |
KR20050007143A (ko) | 2005-01-17 |
TW200503269A (en) | 2005-01-16 |
US7368392B2 (en) | 2008-05-06 |
KR101095416B1 (ko) | 2011-12-19 |
US20050009358A1 (en) | 2005-01-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN100514564C (zh) | 金属栅极场效应晶体管的栅极结构的制作方法 | |
TWI479562B (zh) | 高溫蝕刻高k值材料閘極結構之方法 | |
US6767824B2 (en) | Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask | |
JP7314293B2 (ja) | リソグラフィ応用のための膜積層体 | |
US6759286B2 (en) | Method of fabricating a gate structure of a field effect transistor using a hard mask | |
US7232492B2 (en) | Method of forming thin film for improved productivity | |
US20090004875A1 (en) | Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate | |
US20040209468A1 (en) | Method for fabricating a gate structure of a field effect transistor | |
CN102017081A (zh) | 氮化硼与硼-氮化物衍生材料的沉积方法 | |
CN1921072A (zh) | 一种蚀刻高介电常数材料的方法 | |
KR100238691B1 (ko) | 드라이에칭방법 | |
US20040206724A1 (en) | Method of etching metals with high selectivity to hafnium-based dielectric materials | |
KR100255404B1 (ko) | 드라이에칭방법 | |
CN1574203A (zh) | 从一基底移除残余物的方法 | |
CN101189709B (zh) | 具有降低的蚀刻率微负载的钨硅化物蚀刻处理 | |
US20090017633A1 (en) | Alternative method for advanced cmos logic gate etch applications | |
CN101047132A (zh) | 刻蚀低介电常数膜的方法 | |
KR100280866B1 (ko) | 반도체장치의 제조방법 | |
JPH04250623A (ja) | ドライエッチング方法 | |
CN101339903A (zh) | 用于高温蚀刻高-k材料栅结构的方法 | |
CN1385889A (zh) | 下埋式微细金属连线的制造方法 | |
JP3277414B2 (ja) | ドライエッチング方法 | |
CN1700419A (zh) | 利用双镶嵌工艺来形成t型多晶硅栅极的方法 | |
KR100291439B1 (ko) | 반도체소자의제조방법 | |
KR100596882B1 (ko) | 폴리실리콘 게이트 형성 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C17 | Cessation of patent right | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20090715 Termination date: 20100708 |