CN1526161A - 清除由形成通孔的处理所产生的蚀刻残余物的方法 - Google Patents

清除由形成通孔的处理所产生的蚀刻残余物的方法 Download PDF

Info

Publication number
CN1526161A
CN1526161A CNA018195822A CN01819582A CN1526161A CN 1526161 A CN1526161 A CN 1526161A CN A018195822 A CNA018195822 A CN A018195822A CN 01819582 A CN01819582 A CN 01819582A CN 1526161 A CN1526161 A CN 1526161A
Authority
CN
China
Prior art keywords
gas
microwave
sucker
power
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA018195822A
Other languages
English (en)
Other versions
CN1265443C (zh
Inventor
善・T・谷燕
善·T·谷燕
・小麦迪纳
瓦伦丁·小麦迪纳
斯・J・多普
道格拉斯·J·多普
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of CN1526161A publication Critical patent/CN1526161A/zh
Application granted granted Critical
Publication of CN1265443C publication Critical patent/CN1265443C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

利用不需要使用液体化学溶剂而且不在通孔内产生过量电荷累积的方法,清除由形成通孔的处理所产生的蚀刻残余物。一个步骤是使用碳氟化合物和氧气。利用微波和RF激发这些气体。另一个步骤是,除了这两种气体之外,引入也被微波和RF激发的氩气。其效果是清除趋向于粘附在通孔之上的表面上的任意附加残余物,而且还可以完成清除通孔内的蚀刻残余物。附加步骤是简单应用去电离水以清除经过上述两个步骤残留的、高水溶性的任何氟化残余物。

Description

清除由形成通孔的处理 所产生的蚀刻残余物的方法
技术领域
本发明涉及集成电路的制造方法,更具体地说,本发明涉及用于清除光致抗蚀剂的方法。
背景技术
在集成电路制造过程中,一个要求就是在通常为金属的互连层之间形成通孔。这些互连层被层面间介质分离,该层面间介质还被称为层间介质,或者简称为ILD。在两个互连层之间形成连接的过程中,在形成上部互连层之前,在层间介质上形成通孔。在形成通孔的过程中,光致抗蚀剂用于设置通孔图形。在对光致抗蚀剂构图后,通过层面间介质到下层的互连层蚀刻通孔。该处理的一个重要方面是下一步清除光致抗蚀剂。在接下来在层面间介质上以及通孔内形成上部互连层之前,必须清除光致抗蚀剂。清除光致抗蚀剂的一个问题是,通常在通孔的底侧壁以及在通孔的上部弯角处形成通常被称为遮蔽物或通孔遮蔽物形式的蚀刻残余物。(该遮蔽物还可能在通孔的整个侧壁上扩展。)这些遮蔽物是在形成通孔的过程中使用的蚀刻剂材料、来自蚀刻层面间介质的硅和碳以及从位于通孔底部的下层金属互连层溅射的金属的副产品。
通常,利用采用液体溶剂的湿清除方法清除这些遮蔽物。在进行这种溶剂清除之前,首先利用干剥离方法清除光致抗蚀剂。这种干剥离方法通常采用微波能源激发反应物质类等离子体来轰击光致抗蚀剂以剥离光致抗蚀剂,该光致抗蚀剂是聚合物。作为湿清除方法,下一步使用溶剂以有效清除遮蔽物和任意抗蚀剂残余物。在注入溶剂后,利用水冲洗溶剂。溶剂非常有效,但是消耗成本高,而且可能产生缩小几何形状的问题。一个可能问题是,可能难以使溶剂进入非常小的通孔。此外,如果不能用水完全清除溶剂,则它留下的残余物会加大通孔的电阻,或者不能实现可靠电接触。经验数据显示,必须及时,通常在24小时之内用金属填满这种溶剂清除过的通孔,以避免产生过量接触电阻。
尝试解决使用溶剂产生的问题的技术之一是将RF用作等离子体的激发源。已经证明这种方法可以有效清除遮蔽物,然而,它也会在互连处产生过量电荷累积。互连处至少在某些位置要连接到门介质。在互连处累积电荷将在门介质两端产生可能过高的压差,导致门介质被破坏。当然,通孔内产生的过量电荷累积导致门介质被破坏是个严重问题。试图使用RF激发的NF3、O2以及N2H2产生的问题是,过量电荷累积、晶片不够均匀以及需要在形成通孔后的24小时内填充该通孔。
因此,需要一种可以不使用液体溶剂、不产生与已知的化学物质RF清除方法相关的一个或者多个问题的清除光致抗蚀剂的方法。
附图的简要说明
利用例子说明本发明,而且本发明并不局限于附图,在附图中,类似的参考编号代表类似的单元,附图包括:
图1示出用于实现本发明的设备;
图2示出根据现有技术的集成电路一部分的剖视图;
图3示出在根据本发明进行下一步处理后,图2所示集成电路的部分的剖视图;
图4示出在根据本发明进行下一步处理后,图3所示集成电路的部分的剖视图;
图5示出在根据本发明进行下一步处理后,图4所示集成电路的部分的剖视图;以及
图6示出在根据本发明进行处理后的另一种剖视图。
发明详述
以下说明将描述将CF4和O2用作清除光致抗蚀剂的主要化学物质的实施例。下一步注入氩气有助于清除沉积在ILD上的光致抗蚀剂。此后,去电离水清除受益于头两步而可溶的氟化化合物。利用RF和微波以高于室温(通常约为25℃)的温度激发化学物质。
图1所示的设备10具有放置在其内的半导体晶片12。设备10包括CF4源14、O2源16、氩气源18、微波源20、RF源22、反应室24、波导26、进气管28、阀门30、阀门32、阀门34、导流板36以及吸盘38。反应室24内设置导流板36、晶片12以及吸盘38。RF源22连接到吸盘38。微波源20通过波导26连接到反应室34。CF4源14通过阀门30选择性地连接到进气管28。O2源16通过阀门32选择性地连接到进气管28。氩气源18通过阀门34选择性地连接到进气管28。进气管28连接到波导26,以使进气管28内的气体进入波导26内,并被微波源20激发。然后,在被激发状态下,气体由波导26从进气管28进入反应室24。
图2示出在根据本技术领域内的已知技术形成通孔后的晶片12的一部分50。部分50示出连同下部金属层54、层间介质56以及光致抗蚀剂层58的通孔52。图2所示的部分50处于蚀刻光致抗蚀剂形成掩模并利用该掩模将层间介质蚀刻到金属层54以形成通孔52之后的阶段。在优选实施例中,金属层54包括抗反射涂层57。在清除通孔52内的层间介质后,立即在紧接着金属层54上方的弯角处残留蚀刻产生的材料聚集59。这些材料包括碳、硅、氮、氟以及钛。这些材料很可能形成遮蔽物,而且在填充通孔以形成电接触时,产生后续问题。这是当时需要清除遮蔽物的基本出发点。
根据本发明实施例,下一步是利用图1所示设备清除光致抗蚀剂。在将晶片12放置到吸盘28上后,通过激活微波源20和RF源22并通过波导26将CF4气体和氧气引入反应室24,清除光致抗蚀剂58。因此,CF4和O2进入反应室24,被微波源20激发而且进一步被RF源22激发。这样可以有效清除光致抗蚀剂58,并使位于可能形成遮蔽物的通孔的底部侧壁上的聚合物链断裂。在优选实施例中,同时施加RF功率和微波功率。在另一个实施例中,仅利用RF功率或者微波功率激发CF4和O2。通常,也残留光致抗蚀剂残余物64。
在基本清除了光致抗蚀剂58后,如图3所示,利用设备10进行后续清除步骤。在CF4/O2剥离光致抗蚀剂后,在ILD上仍残留如图3所示包括氟的某些残余物。在通孔的底部位于通孔52的弯角处,还存在氟聚集物61。下一步是在进气管28内另外加入氩气,从而使氩气进入波导26。因此,第二步是使用在被微波激发情况下引入反应室24的CF4、O2以及氩气,反应室24接入被激活的RF源22。在优选实施例中,同时施加RF功率和微波功率。在另一个实施例中,仅利用RF功率或微波功率激发CF4和O2。图4示出该步骤使用微波和RF激发的CF4、O2以及氩气的结果。该图示出清除了光致抗蚀剂残余物64,残留氟化残余物61和66。然后,利用去电离水清除氟化残余物61和66。去电离水可以有效清除氟化残余物61和64,因为氟化残余物61和64中的氟容易与水中的氢结合在一起。因此,利用水可以有效溶解并清除氟化残余物61和64。因此,图5所示的所得到的结构非常清洁。图5所示的结果是可以在72小时之后在通孔52内接着沉积导电材料而且接触仍良好。而先前24小时是具有代表性的极限。
因此,在此证明可以非常有效地清除光致抗蚀剂,而无需使用溶液溶剂。在此特定实施例中,金属是铝,而抗反射涂层是氮化钛。然而,也可以使用其它金属。此外,使用不同于CF4的其它气体也可能有效。这些其它气体包括C2F6和C3F8。据信CF4更有效,因为氟对碳的比率更高。也可以使用含有碳和氟的其它气体。在此处理中,RF源的能量保持低于400瓦。350瓦的功率也可以工作,但是该处理的优选功率为250瓦。如果TiN用作抗反射涂层,则高能量会清除TiN。因此,对于CF4与O2的组合,不应将能量升高到这样的程度,即去除抗反射涂层成为问题的程度。
吸盘38的温度优选范围在45℃至100℃之间,升高到室温之上的其它温度也可能有效。通过将温度升高到室温之上,对于清除弯角处的残余物更有效。在很大程度上可以相信是这样,因为存在难以清除的钛残余物。大量的氟可以引起类似的问题。升高的温度有助于清除遮蔽物的钛部分,而且还对清除氟很重要。它有助于分解尤其与氟相关的遮蔽物的聚合物方面。这样,利用升高的温度可以保证最终清除氟,因为此时保证氟不是聚合物的一部分。因此,反应温度最好高于室温。然而,它也不应过高,因为这样会导致去除过多抗反射涂层。
在仅使用CF4和O2的步骤,O2的流速可以为1250 SCCM、CF4的流速可以为20SCCM、压力可以为0.6Torr、微波功率可以为1000瓦、RF功率可以为250瓦、吸盘温度可以为60℃。持续时间基于传统的最后结果检测技术。对于使用CF4和O2的步骤,O2的流速可以为1250SCCM、CF4的流速可以为15SCCM、氩气的流速可以为75SCCM、压力可以为0.6Torr、微波功率可以为1000瓦、RF功率可以为100瓦、吸盘温度可以为60℃。时间可以根据实验结果发生变化,实验结果可能根据所使用的诸如特定层面间介质的材料而发生变化,但是已经证明15秒就有效。优选特性是CF4与O2之比低于约0.02比1。
几乎所选择的任何压力基本上都有效,然而,必须考虑到工具的限制。在O2的流速为1250SCCM,而CF4的流速为20SCCM时,可以采用的最低压力约为0.35T,因为受到当前使用的工具的限制。然而,如果要求更高的压力,则可以降低化学物质的流速。改变压力的重要性在于,压力低于约0.45T通常可以提高化学物质清除位于通孔底部的遮蔽物的能力。压力高于约0.5T通常会导致位于ILD顶部的用于清除光致抗蚀剂的化学物质比位于通孔内的用于清除光致抗蚀剂的化学物质多。
在通孔未完全位于金属层上的情况下,该处理也非常有效。在这种情况下,通孔偏离部分金属层。这样通常会导致通孔在与金属不相应的位置更深。如果使用溶剂,则这样会形成溶剂集中区。如果溶剂集中在这里,则这里的遮蔽物也不能被清除。因此,这可能是因为使用液体清除遮蔽物产生的难题。通常将这种通孔称为无边(unlanded)通孔。对于采用本发明的无边通孔,没有液体溶剂集中在靠近金属的狭小区域内。图6示出这种无边通孔。如果使用溶剂,则凹陷区60是潜在问题。图6示出采用本发明的结果。
熟练技术人员明白,为了简单、明了地示出各附图中的单元,所以未必按比例示出它们。例如,为了有助于理解本发明的各实施例,附图中某些单元的尺寸可能相对于其它单元被夸大。
在以上的说明中,参考特定实施例对本发明进行了说明。然而,本技术领域内的普通技术人员明白,可以在如下的权利要求书所述的本发明范围内,对其进行各种修改和变更。因此,可以认为,说明书和附图具有说明性意义,而没有限制性意义,而且所有这些修改均包括在本发明范围内。
以上参考特定实施例对本发明的益处、其它优点以及各问题的解决方案进行了说明。然而,不能将本发明的益处、优点、各问题的解决方案以及使任意益处、优点或解决方案显得或者变得更加明显的任意单元解释为任意一项或全部权利要求的关键的、要求的、或本质特征或单元。在此,术语“包括”或其任何变形均表示非排他性包括,因此,包括一系列单元的处理、方法、制品或设备并不仅仅包括这些单元,还可以包括未明确列出的或这些处理、方法、制品或设备所固有的其它单元。

Claims (23)

1、一种用于清除由形成通过绝缘材料的通孔的处理所产生的蚀刻残余物的方法,该方法包括对蚀刻残余物和通孔施加气体的步骤,其中利用RF源激发该气体,而且该气体包括碳氟化合物和氧气。
2、根据权利要求1所述的方法,其中RF源在80至350瓦之间工作。
3、根据权利要求1所述的方法,其中碳氟化合物是CF4
4、根据权利要求3所述的方法,其中CF4与氧气之比低于约0.02。
5、根据权利要求1所述的方法,其中该气体还包括氩气。
6、根据权利要求1所述的方法,该方法还包括利用微波源激发至少一部分气体。
7、根据权利要求6所述的方法,其中微波源在500与2000W之间工作。
8、一种用于清除由在集成电路上形成通孔的处理所产生的蚀刻残余物的方法,该方法包括:
设置具有吸盘的一个室;
将集成电路放置到该室内接触吸盘;
以第一功率电平对该室施加RF功率;以及
将包括碳氟化合物气体和氧气的微波激发气体引入该室内。
9、根据权利要求8所述的方法,其中碳氟化合物是CF4
10、根据权利要求8所述的方法,该方法还包括利用去电离水冲洗。
11、根据权利要求8所述的方法,其中吸盘处于高于室温的温度。
12、根据权利要求11所述的方法,其中高于室温的吸盘温度被进一步确定为45至100摄氏度。
13、根据权利要求8所述的方法,该方法还包括引入微波激发的惰性气体。
14、根据权利要求13所述的方法,其中惰性气体是氩气。
15、根据权利要求13所述的方法,该方法还包括在引入微波激发的惰性气体时,施加第二功率电平的RF功率。
16、根据权利要求15所述的方法,其中第二功率电平的RF功率低于第一功率电平的RF功率。
17、根据权利要求15所述的方法,其中在以第一功率电平施加RF功率时的碳氟化合物气体与氧气之比低于在以第二功率电平施加RF功率时的碳氟化合物气体与氧气之比。
18、一种用于清除由在集成电路上形成通孔的处理所产生的蚀刻残余物的方法,该方法包括以下步骤:
设置具有吸盘的一个室;
将集成电路放置到该室内的吸盘上;
RF激发该吸盘;
将包括第一气体和第二气体的第一微波激发气体引入该室内,第一气体包括氟和碳的第一化合物,第二气体包括氧气;以及
在将第一微波激发气体引入该室后,将包括第三气体、第四气体以及第五气体的第二微波激发气体引入该室内,第三气体包括氟和碳的第二化合物,第四气体包括氧气,第五气体包括惰性气体。
19、根据权利要求18所述的方法,其中第一和第二化合物相同。
20、根据权利要求19所述的方法,其中第一化合物和第二化合物包括CF4
21、根据权利要求20所述的方法,其中惰性气体是氩气。
22、根据权利要求18所述的方法,其中第一化合物是CF4,而第二化合物是C2F6
23、根据权利要求18所述的方法,其中吸盘的温度高于室温,利用500与2000瓦之间的微波功率激发第一微波激发气体,而以低于400瓦的功率激发RF激发吸盘。
CNB018195822A 2000-11-07 2001-11-06 清除由形成通孔的处理所产生的蚀刻残余物的方法 Expired - Fee Related CN1265443C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/707,595 2000-11-07
US09/707,595 US6554004B1 (en) 2000-11-07 2000-11-07 Method for removing etch residue resulting from a process for forming a via

Publications (2)

Publication Number Publication Date
CN1526161A true CN1526161A (zh) 2004-09-01
CN1265443C CN1265443C (zh) 2006-07-19

Family

ID=24842325

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018195822A Expired - Fee Related CN1265443C (zh) 2000-11-07 2001-11-06 清除由形成通孔的处理所产生的蚀刻残余物的方法

Country Status (7)

Country Link
US (1) US6554004B1 (zh)
JP (1) JP2004517470A (zh)
KR (1) KR20030093186A (zh)
CN (1) CN1265443C (zh)
AU (1) AU2002232520A1 (zh)
TW (1) TW523827B (zh)
WO (1) WO2002039489A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102468144A (zh) * 2010-11-12 2012-05-23 北大方正集团有限公司 一种提高通孔中钛和氮化钛填充能力的方法
CN103361690A (zh) * 2012-03-31 2013-10-23 北大方正集团有限公司 Pcb的盲孔清洗方法
CN103377913A (zh) * 2012-04-18 2013-10-30 中芯国际集成电路制造(上海)有限公司 开口的形成方法
CN103972055A (zh) * 2013-01-31 2014-08-06 中微半导体设备(上海)有限公司 光刻胶去除方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6911349B2 (en) * 2001-02-16 2005-06-28 Boxer Cross Inc. Evaluating sidewall coverage in a semiconductor wafer
JP4838464B2 (ja) * 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
US7235489B2 (en) * 2004-05-21 2007-06-26 Agere Systems Inc. Device and method to eliminate shorting induced by via to metal misalignment
US8399360B1 (en) * 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
US20070269975A1 (en) * 2006-05-18 2007-11-22 Savas Stephen E System and method for removal of photoresist and stop layer following contact dielectric etch
US7510965B2 (en) * 2006-11-30 2009-03-31 United Microelectronics Corp. Method for fabricating a dual damascene structure
KR20080060017A (ko) * 2006-12-26 2008-07-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
CN110299285B (zh) * 2019-06-19 2021-10-15 上海华力集成电路制造有限公司 一种改善晶圆表面缺陷的方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5595707A (en) * 1990-03-02 1997-01-21 Ventana Medical Systems, Inc. Automated biological reaction apparatus
JP3259380B2 (ja) 1992-12-04 2002-02-25 ソニー株式会社 半導体装置の製造方法
JP3529849B2 (ja) 1994-05-23 2004-05-24 富士通株式会社 半導体装置の製造方法
US5780359A (en) * 1995-12-11 1998-07-14 Applied Materials, Inc. Polymer removal from top surfaces and sidewalls of a semiconductor wafer
KR100194789B1 (ko) * 1995-12-16 1999-06-15 김영환 반도체 소자의 폴리머 제거 방법
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US6117786A (en) 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
DE69934326T2 (de) 1998-08-27 2007-06-21 Best Label Co., Inc., Cerritos Verfahren zur entfernung organischen materials von trägern
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6130166A (en) * 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6376384B1 (en) * 2000-04-24 2002-04-23 Vanguard International Semiconductor Corporation Multiple etch contact etching method incorporating post contact etch etching

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102468144A (zh) * 2010-11-12 2012-05-23 北大方正集团有限公司 一种提高通孔中钛和氮化钛填充能力的方法
CN102468144B (zh) * 2010-11-12 2015-11-25 北大方正集团有限公司 一种提高通孔中钛和氮化钛填充能力的方法
CN103361690A (zh) * 2012-03-31 2013-10-23 北大方正集团有限公司 Pcb的盲孔清洗方法
CN103377913A (zh) * 2012-04-18 2013-10-30 中芯国际集成电路制造(上海)有限公司 开口的形成方法
CN103377913B (zh) * 2012-04-18 2016-08-31 中芯国际集成电路制造(上海)有限公司 开口的形成方法
CN103972055A (zh) * 2013-01-31 2014-08-06 中微半导体设备(上海)有限公司 光刻胶去除方法
CN103972055B (zh) * 2013-01-31 2016-09-07 中微半导体设备(上海)有限公司 光刻胶去除方法

Also Published As

Publication number Publication date
WO2002039489A2 (en) 2002-05-16
TW523827B (en) 2003-03-11
JP2004517470A (ja) 2004-06-10
AU2002232520A1 (en) 2002-05-21
CN1265443C (zh) 2006-07-19
KR20030093186A (ko) 2003-12-06
WO2002039489A3 (en) 2003-12-11
US6554004B1 (en) 2003-04-29

Similar Documents

Publication Publication Date Title
KR100347648B1 (ko) 포토레지스트및에칭잔류물제거방법
JP3271359B2 (ja) ドライエッチング方法
EP1096562B1 (en) Method for making a semiconductor device
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US8148270B2 (en) Low K dielectric surface damage control
KR100778259B1 (ko) 저-커패시턴스 유전층 에칭 기술
US6526996B1 (en) Dry clean method instead of traditional wet clean after metal etch
KR101082993B1 (ko) 레지스트용 박리제조성물 및 반도체장치의 제조방법
US6796314B1 (en) Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US6930048B1 (en) Etching a metal hard mask for an integrated circuit structure
KR100676995B1 (ko) 금속 라인들의 사후 에칭 부식을 감소시키기 위한 금속배선 에칭 기술
CN1265443C (zh) 清除由形成通孔的处理所产生的蚀刻残余物的方法
KR100500932B1 (ko) 비아 콘택 식각 후의 감광막 제거 및 건식 세정 방법
KR100493486B1 (ko) 개선된 전도층 엣칭방법 및 장치
KR19990065188A (ko) 반도체 소자의 다층막 건식각 방법
US5420076A (en) Method of forming a contact for multi-level interconnects in an integrated circuit
CN1302525C (zh) 用于控制掺碳氧化物薄膜的蚀刻偏差的方法
KR101276043B1 (ko) 아산화질소를 사용하는 에치백 프로세스
US6969685B1 (en) Etching a dielectric layer in an integrated circuit structure having a metal hard mask layer
US6399509B1 (en) Defects reduction for a metal etcher
KR100259351B1 (ko) 다층막 건식각 방법
KR0147674B1 (ko) 반도체장치 제조방법
KR20040027109A (ko) 반도체 소자의 폴리머 제거방법
JP2001110786A (ja) ビアエッチングによるレジデューの除去方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
ASS Succession or assignment of patent right

Owner name: FREEDOM SEMICONDUCTORS CO.

Free format text: FORMER OWNER: MOTOROLA, INC.

Effective date: 20040820

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20040820

Address after: Texas in the United States

Applicant after: FreeScale Semiconductor

Address before: Illinois Instrunment

Applicant before: Motorola, Inc.

C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060719

Termination date: 20131106