CN1524291A - 金属离子扩散阻挡层 - Google Patents

金属离子扩散阻挡层 Download PDF

Info

Publication number
CN1524291A
CN1524291A CNA028034384A CN02803438A CN1524291A CN 1524291 A CN1524291 A CN 1524291A CN A028034384 A CNA028034384 A CN A028034384A CN 02803438 A CN02803438 A CN 02803438A CN 1524291 A CN1524291 A CN 1524291A
Authority
CN
China
Prior art keywords
value
atom
integrated circuit
film
metal line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA028034384A
Other languages
English (en)
Inventor
M���岩��
M·洛博达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dow Silicones Corp
Original Assignee
Dow Corning Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Corning Corp filed Critical Dow Corning Corp
Publication of CN1524291A publication Critical patent/CN1524291A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种集成电路,包括形成到由半导体材料制成的衬底中的固态器件的子组件。组件内的该器件通过由导电金属形成的金属布线连接。具有SiwCxOyHz组成的合金膜的扩散阻挡层与金属布线接触,其中w的值为10-33原子%,优选18-20原子%,x的值为1-66原子%,优选18-21原子%,y值为1-66原子%,优选5-38原子%,z值为0.1-60原子%,优选25-32原子%,w+x+y+z=100原子%。

Description

金属离子扩散阻挡层
本申请要求2001年1月3日申请的美国临时申请No.60/259,489的优先权。
发明背景
传统上,在半导体集成电路(IC)制造中使用的接触或金属间介电绝缘技术中已经采用例如非晶氢化氮化硅(a-SiN:H)和非晶氢化碳化硅(a-SiC:H)的材料,以防止器件内互连金属的热或者电场驱动扩散。IC内金属的扩散导致器件的早期失效。基于公知的电绝缘介质例如SiO2和类似的氧化物基相关材料的已知性能即具有差的阻挡作用已经使用上述材料。随着工业上对使与电路互连相关的电阻-电容(RC)延迟最小的需求,因为上述碳化物和氮化物具有等于或者高于SiO2的介电常数(dielectric permittivity),并且导致互连电容增加,这些材料已经受到挑战。
本发明涉及在多层金属(multilevel metal)集成电路和布线板设计中使用低介电常数材料、具有SiwCxOyHz组成的合金膜,作为金属离子如Cu、Al等的有效扩散阻挡层。SiwCxOyHz膜的功能是阻止电路中作为器件互连的相邻导体之间金属离子的迁移。SiwCxOyHz膜使电路的可靠性增加,允许使用低电阻导体和在导体之间使用作为绝缘介质的低介电常数材料。
发明综述
本发明涉及改进的集成电路,该集成电路具有更高的工作速度和可靠性。该电路包括形成到衬底中的固态器件的子组件(subassembly),所述衬底由半导体材料制成。子组件内的器件通过由导电金属形成的金属布线连接。具有SiwCxOyHz组成的合金膜的扩散阻挡层与金属布线接触,其中w的值为10-33原子%,优选18-20原子%,x的值为1-66原子%,优选18-21原子%,y值为1-66原子%,优选5-38原子%,z值为0.1-60原子%,优选25-32原子%,w+x+y+z=100原子%。
附图的简要描述
图1是使用减成(subtractive)技术形成的器件的截面图。
图2是使用镶嵌(damascene)技术形成的器件的截面图。
详细描述
本发明涉及具有SiwCxOyHz组成的合金膜(“SiwCxOyHz膜”)的使用,其中w的值为10-33原子%,优选18-20原子%,x的值为1-66原子%,优选18-21原子%,y的值为1-66原子%,优选5-38原子%,z的值为0.1-60原子%,优选25-32原子%,w+x+y+z=100原子%。使用SiwCxOyHz膜来阻止电路中相邻的器件互连之间金属原子的迁移。SiwCxOyHz膜还具有比非晶氢化氮化硅(a-SiN:H)和非晶氢化碳化硅(a-SiC:H)更低的介电常数。SiwCxOyHz膜的介电常数可以比这些氮化物和碳化物低50%以上。这种更低的介电常数有助于减小与互连相关的电容。SiwCxOyHz膜还具有比SiO2膜更低的介电常数。因此,除了防止金属扩散,该材料本身是适当的中间介质(interdielectric)。作为多功能材料,SiwCxOyHz膜的实施通过消除了对金属间绝缘配置中的多个中间层材料的需要而简化了IC制造,因此降低了IC的制造成本。由于SiwCxOyHz膜材料是抵抗金属扩散的阻挡物,因此不需要使用与导体金属自身相邻的金属基扩散阻挡层,进一步简化了制造过程,并且降低了成本。所例举的例子是取消与铜导体相邻的Ti或Ta基的层。最后,这些Ti和Ta基的层还使在金属互连中得到最低电阻率方面存在限制,取消了这些Ti和Ta基的层提供了减小互连电阻率的机会。这样,可以说通过取消对高介电常数介质膜和高电阻率金属基阻挡金属的使用,使用SiwCxOyHz膜能够制造极低的RC延迟互连。这将使高速集成电路的整体性能提高。
在本发明的工艺中使用的集成电路子组件不是关键的,这里几乎可以使用任何本领域已知的和/或商业上可制造的子组件。图1示出了通过减成技术制造的电路组件。当使用减成技术时,制备布线层,然后用中间层材料覆盖该布线。图2示出了使用镶嵌技术制造的电路组件,当使用镶嵌技术时,在淀积中间介质层并且形成用来隔离布线的沟槽之后,将布线施加到沟槽中。
用来制造这种电路的方法也是公知的,对本发明并不重要。这种电路的例子有那些包括半导体衬底(例如,硅、砷化镓等)、具有生长在半导体衬底上的外延层的电路。适当掺杂该外延层以便形成PN-结区,该P-N结区构成电路的有源、固态器件区。当通过金属布线层适当互连时,这些有源器件区是形成集成电路的二极管和晶体管。图1示出了这种具有器件区(2)和薄膜金属布线(3)的电路子组件(1),薄膜金属布线(3)互连各器件。图2示出了具有器件区(2)和薄膜布线(3)的交替电路组件(1),薄膜布线(3)互连器件。本发明并不限于在这两种结构中使用SiwCxOyHz膜。这里也可以使用其它结构,在该结构中SiwCxOyHz膜提供抵抗集成电路中金属离子扩散的阻挡作用。
用于金属布线层的材料没有限制,只要是导电金属即可。集成电路子组件上的金属布线层通常是铝或铜的薄膜。金属布线层也可以是银、金、合金、超导体等。
用于淀积金属层的方法在本领域是公知的。使用的具体方法并不重要。这种工艺的例子包括各种物理汽相淀积(PVD)技术,例如溅射和电子束蒸发。
形成SiwCxOyHz膜使其接触金属布线层并且保护器件内那些金属离子会扩散到的区域。当使用减成技术形成器件时,在器件上施加布线之后但在施加任何其它中间层之前,在布线上施加SiwCxOyHz膜。当使用镶嵌技术形成器件时,在形成互连和金属布线之前,在沟槽中施加SiwCxOyHz膜。然后可以在金属布线的剩余的任何暴露表面上施加SiwCxOyHz膜。或者SiwCxOyHz膜也可以施加在金属布线层下面,例如图1和2中由层(4)所示。或者预期可以通过例如掩模选择性地只在布线上施加SiwCxOyHz膜,或者可以涂覆整个表面,然后蚀刻掉不需要SiwCxOyHz膜的那些区域。SiwCxOyHz膜可以与已知的扩散阻挡材料结合使用。例如,可以用传统的阻挡金属部分地覆盖布线,然后可以用SiwCxOyHz膜覆盖剩余的布线。
施加SiwCxOyHz膜的方法对本发明并不关键,许多是本领域公知的。施加方法的例子包含各种化学汽相淀积技术例如常规的CVD、光化学汽相淀积、等离子增强化学汽相淀积(PECVD)、电子回旋加速器共振(ECR)、喷射汽相淀积等和各种物理汽相淀积技术例如溅射、电子束蒸发等。这些工艺包括施加能量(以热、等离子等形式)使物质汽化以引起希望的反应或者将能量集中在材料的固态样品上以引起其淀积。
优选通过1998年5月29日申请、授权给Dow CorningCorporation的美国专利申请No.09/086811所公开的方法施加SiwCxOyHz膜,这里引入作为如何形成SiwCxOyHz膜的参考。根据该方法,由包括含甲基的硅烷和氧提供气体的反应气体混合物制备SiwCxOyHz膜。可以使用的含甲基的硅烷包括甲基硅烷(CH3SiH3)、二甲基硅烷((CH3)2SiH2)、三甲基硅烷((CH3)3SiH)和四甲基硅烷((CH3)4Si),优选三甲基硅烷。在淀积室中有控制量的氧。可以通过所用的氧提供气体的类型或者通过所用的氧提供气体的量控制氧。如果淀积室中存在太多的氧,那末将生成化学计量接近SiO2的氧化硅膜。如果淀积室中的氧不足,那末将生成化学计量接近SiC的碳化硅膜。在这些情况的任一种情况下,都不能得到想要的膜性能。氧提供气体包括但不限于空气、臭氧、氧、一氧化二氮和氧化一氮,优选一氧化二氮。氧提供气体的量一般小于5体积份氧提供气体/体积份含甲基的硅烷,优选0.1-4.5体积份氧提供气体/体积份含甲基的硅烷。基于氧提供气体的类型和淀积条件,本领域技术人员能够容易地确定氧提供气体的量,以便生成具有SiwCxOyHz组成的膜,其中w的值为10-33原子%,优选18-20原子%,x的值为1-66原子%,优选18-21原子%,y的值为1-66原子%,优选5-38原子%,z的值为0.1-60原子%,优选25-32原子%,w+x+y+z=100原子%。
在常规的化学汽相淀积中,通过使希望的前体气体流通过加热的衬底上面淀积所述涂层。当前体气体接触热表面时,它们反应并淀积该涂层。根据前体和想要的涂层的厚度,大约100-1000℃范围内的衬底温度足以在几分钟至几个小时内形成这些涂层。如果需要,在这样的工艺中可以使用活性金属以便于淀积。
在PECVD中,通过使想要的前体气体通过等离子场使所述前体气体反应。然后如此形成的反应物质集中在衬底上容易附着的位置。通常,该工艺优于CVD的方面在于可以使用较低的衬底温度。例如,从大约50℃最高至大约600℃的衬底是实用的。
用于该工艺的等离子可以包括从各种源例如放电、射频或微波范围的电磁场、激光或粒子束产生的能量。通常在大部分等离子淀积工艺中优选使用较低功率密度(0.1-5瓦/cm2)的射频(10kHz-102MHz)或微波(0.1-10GHz)能量。然而,具体的频率、功率和压力通常根据所使用的前体气体和设备而定。
这里可以使用本领域公知的用于形成SiwCxOyHz膜的其它前体。该前体可以是提供Si、C、O和H元素的单一化合物或者例如甲基硅酮等的前体。或者该前体可以是提供Si、C、O和H元素的化合物的混合物,例如,硅烷、氧源(即O2、O3、H2O2、N2O等)和有机化合物(例如甲烷);或者含甲基的硅烷和如上所述的氧源。用于形成SiwCxOyHz膜的较佳的方法是三甲基硅烷与N2O的等离子增强化学汽相淀积。
这里所用的膜还可以是利用旋涂或其它液体淀积技术通过施加液体前体制备的。可以使用涂覆后固化的有机硅氧烷和silsesquioxanes(硅倍半氧烷)来制备SiwCxOyHz膜。
这里所用的膜可以由式SiwCxOyHz表示,其中w的值为10-33原子%,优选18-20原子%,x的值为1-66原子%,优选18-21原子%,y的值为1-66原子%,优选31-38原子%,z的值为0.1-60原子%,优选25-32原子%,w+x+y+z=100原子%。可以将其它的元素例如氟(F)引入到膜中,只要这些元素不改变膜的扩散阻挡性能即可。
这里形成的器件典型的是多层器件,然而,SiwCxOyHz膜可以用于单层器件。可以在SiwCxOyHz膜的顶部施加其它材料例如传统的介电材料。图1显示了这种通过互连(6)与第一布线层的选择区互连的第二金属布线层(7)。但是,同样SiwCxOyHz膜应淀积在介质和金属之间以防止金属扩散到介质中。该SiwCxOyHz膜可以按如上所述的方式形成。于是,金属布线夹在SiwCxOyHz膜之间。对于电路内的各种金属化层来说,该工艺可以重复多次。
还应注意,该技术可应用于其上安装了上述电路的布线板。这些布线板上的金属布线和SiwCxOyHz膜的结构与上面所描述的一样。其它应用包括在不希望金属扩散到其它层中去的地方覆盖该金属。
可以将图1和2中所示的层描述如下:
1是电路组件。它可以是本领域已知的任何电路组件。
2是器件区。器件区是本领域已知的,并且上面概述了。
3是第一金属布线层。用于形成金属布线的方法是本领域已知的,并且上面概述了。金属布线(3)由如前面所述的导电金属形成。
4是阻挡层。阻挡层(4)可以是SiwCxOyHz膜或者SiwCxOyHz膜与一种或多种阻挡材料如a-SiC:H、a-SiN:H、a-SiCN:H、阻挡金属(即Ta、Ti)和其它已知的阻挡材料的组合。通常,当使用阻挡材料的组合时,这些材料覆盖布线的不同部分。优选阻挡层是这里所述的SiwCxOyHz膜。优选通过三甲基硅烷与N2O的等离子增强化学汽相淀积制备层4。
4(a)也是这里所述的阻挡层。4(a)仅在图2中示出了。
5是第一中间介质层。该中间介质层可以从任何已知的中间层材料例如氧化硅、碳化硅、碳氧化硅、氮化硅、氮氧化硅、碳氮化硅、有机材料制成,上述有机材料例如是聚酰亚胺、环氧树脂、PARYLENETM、SILK、由hydrogen silsesquioxane(Fox,XLKTM)制成的那些材料。此外,中间介质层可以是这里描述为阻挡层的SiwCxOyHz膜。这是使用SiwCxOyHz膜的独特特征之一。当施加的厚度足以至少部分填充金属布线之间的间隙时,SiwCxOyHz膜还可以作为介质材料。这是由于该材料的低介电常数和低电阻率。
6是互连。互连(6)连接第一金属布线层和第二金属布线层。互连(6)可以由与金属布线所用的金属相同或者不同的导电金属形成。
7是第二金属布线层。该第二金属布线层(7)可以由与第一金属布线层相同或者不同的导电金属制成。
9是第二中间介质层。该第二中间介质层(9)可以与第一中间介质层(5)相同或者不同。
10是蚀刻停止层(图2)。在通过镶嵌技术形成的器件中,当形成其中施加金属布线的沟槽时,施加该蚀刻停止层以防止向下蚀刻到其它层中。
本发明并不限于仅具有这些层的器件。在器件中或者器件上可以形成实现器件的平整化、钝化、操作或保护的其它层。
                         举例
下面例举非限制性的例子,以使本领域技术人员可以更容易地理解本发明。
下面的例子演示具有优异的扩散阻挡性能和低k值的氧化的有机硅烷薄膜的淀积。利用化学汽相淀积室DxZ”和室处理配套器材(Applied Materials,Inc.制造)实施这些例子。“DxZ”包含固态RF匹配单元。
例1
在8.7乇的室压和370℃的温度下将氧化的三甲基硅烷膜淀积在8英寸硅晶片上,反应气体按如下方式流入反应器:
三甲基硅烷,(CH3)3SiH         210sccm
氦,He,                        600sccm
二氧化碳,CO2,                165sccm
衬底离气体配给喷头435密耳,并且给喷头施加585W的高频功率(13.56MHz),用于等离子增强淀积。氧化的三甲基硅烷材料具有1.88的折射率,以1467A/分钟的速度和2%的整个晶片均匀度淀积,并且具有4.5的介电常数。
例2
在7乇的室压和370℃的温度下将氧化的三甲基硅烷膜淀积在8英寸硅晶片上,反应气体按如下方式流入反应器:
三甲基硅烷,(CH3)3SiH,         350sccm
氦,He,                          300sccm
一氧化二氮,N2O,                420sccm
衬底离气体配给喷头300密耳,并且给喷头施加800W的高频功率(13.56MHz),用于等离子增强淀积。氧化的三甲基硅烷材料具有1.46的折射率,以14080A/分钟的速度和3%的整个晶片均匀度淀积,并且具有2.6的介电常数。
例3
在6乇的室压和370℃的温度下将氧化的三甲基硅烷膜淀积在8英寸硅晶片上,反应气体按如下方式流入反应器:
三甲基硅烷,(CH3)3SiH,          350sccm
氦,He,                           300sccm
一氢化二氮,N2O,                 820sccm
衬底离气体配给喷头400密耳,并且给喷头施加625W的高频功率(13.56MHz)加上95W的低频功率(350KHz),用于等离子增强淀积。氧化的三甲基硅烷材料具有1.44的折射率,以16438A/分钟的速度和5%的整个晶片均匀度淀积,并且具有2.5的介电常数。
例4
在8.7乇的室压和370℃的温度下将氧化的三甲基硅烷膜淀积在8英寸硅晶片上,反应气体按如下方式流入反应器:
三甲基硅烷,(CH3)3SiH,          210sccm
氦,He,                           600sccm
氧,O2,                          100sccm
衬底离气体配给喷头435密耳,并且给喷头施加700W的高频功率(13.56MHz),用于等离子增强淀积。氧化的三甲基硅烷材料具有1.41的折射率,以5965A/分钟的速度和4%的整个晶片均匀度淀积,并且具有2.6的介电常数。
例5
在8.7乇的室压和370℃的温度下将氧化的三甲基硅烷膜淀积在8英寸硅晶片上,反应气体按如下方式流入反应器:
三甲基硅烷,(CH3)3SiH,           200sccm
氦,He,                            800sccm
一氧化二氮,N2O,                  100sccm
氮,N2,                           200sccm
衬底离气体配给喷头435密耳,并且给喷头施加585W的高频功率(13.56MHz),用于等离子增强淀积。氧化的三甲基硅烷材料具有1.59的折射率,以2058A/分钟的速度和6.5%的整个晶片均匀度淀积,并且具有3.4的介电常数。
例6
在8.7乇的室压和370℃的温度下将氧化的三甲基硅烷膜淀积在8英寸硅晶片上,反应气体按如下方式流入反应器:
三甲基硅烷,(CH3)3SiH,          200sccm
氦,He,                           800sccm
一氧化二氮,N2O,                 150sccm
氮,N2,                          100sccm
衬底离气体配给喷头435密耳,并且给喷头施加585W的高频功率(13.56MHz),用于等离子增强淀积。氧化的三甲基硅烷材料具有1.48的折射率,以5410A/分钟的速度和5%的整个晶片均匀度淀积,并且具有3.0的介电常数。
例7
在Applied Materials PECVD设备的气体混合物中添加和不添加少量的N2O的情况下淀积SiCH膜。表1总结了淀积参数。
  试验编号  淀积时间(s)   RF(W)   压力(T)   (CH3)3SiH(sccm)   He(sccm)   N2O(sccm)   k
  7-1   46.0   585   8.7   210   600   0   4.6
  7-2   39.2   585   8.7   210   600   61   3.8
  7-3   39.2   585   8.7   210   600   81   3.5
  7-4   39.2   585   8.7   210   600   101   3.4
  7-5   46.0   585   8.7   210   600   0   5.1
  7-6   28   585   8.7   210   600   101   3.9
利用形成有Cu电极的电容结构测量介电常数k,在1MHz下的结果示于表中。引入更多的N2O稍微降低了相对介电常数k。
在室温测量的介质击穿强度表明,包含N2O的工艺淀积的膜表现出较高的击穿强度,在4-5MV/cm的范围内,与此相反不包含N2O(例如a-SiC:H)情况下的击穿强度大约为3.0MV/cm。在这些材料的另外测试中,进行铜扩散的偏压-温度-应力测试(bias-temperature-stress test),在保持在250℃的同时将高电场(2.5MV/cm)施加给电容器。给电极施加正电压将驱使电极中的Cu穿过电容器到达相对电极。当出现这种情况时,电容器将变为导电,并且将出现短路。通过到达短路条件所需的时间评定阻挡性能。发现在没有N2O的情况下淀积的膜(例如a-SiC:H)中达到电容器失效的时间大约为30000-80000秒,比在具有N2O的情况下淀积的膜上测得的时间短10-100倍。因此引入氧化剂也提高了阻挡性能。

Claims (23)

1.一种集成电路,该集成电路由形成到由半导体材料制成的衬底中的固态器件的子组件、连接所述固态器件的金属布线和至少形成在金属布线上的扩散阻挡层构成,其中所述扩散阻挡层是具有SiwCxOyHz组成的合金膜,其中w的值为10-33,x的值为1-66,y值为1-66,z值为0.1-60,并且w+x+y+z=100原子%。
2.如权利要求1所述的集成电路,其中扩散阻挡层是通过化学汽相淀积制成的。
3.如权利要求1所述的集成电路,其中扩散阻挡层是通过旋涂淀积制成的。
4.如权利要求2所述的集成电路,其中扩散阻挡层是通过反应气体混合物的化学汽相淀积制成的,所述反应气体混合物包括含甲基的硅烷和控制量的氧提供气体。
5.如权利要求4所述的集成电路,其中含甲基的硅烷是三甲基硅烷。
6.如权利要求4所述的集成电路,其中氧提供气体选自CO2、CO、臭氧、氧、一氧化二氮和氧化一氮。
7.如权利要求1所述的集成电路,其中w的值为18-20原子%。
8.如权利要求1所述的集成电路,其中x的值为18-21原子%。
9.如权利要求1所述的集成电路,其中y的值为5-38原子%。
10.如权利要求1所述的集成电路,其中z的值为25-32原子%。
11.如权利要求1所述的集成电路,其中金属布线是铝。
12.如权利要求1所述的集成电路,其中金属布线是铜。
13.一种防止电路中相邻器件互连之间金属离子迁移的方法,所述电路具有金属布线,该方法包括至少在金属布线上涂覆具有SiwCxOyHz组成的合金膜的扩散阻挡层,其中w的值为10-33,x的值为1-66,y值为1-66,z值为0.1-60,并且w+x+y+z=100原子%。
14.如权利要求13所述的方法,其中通过化学汽相淀积制成扩散阻挡层。
15.如权利要求14所述的方法,其中通过反应气体混合物的化学汽相淀积制成扩散阻挡层,所述反应气体混合物包括含甲基的硅烷和控制量的氧提供气体。
16.如权利要求15所述的方法,其中含甲基的硅烷是三甲基硅烷。
17.如权利要求16所述的方法,其中氧提供气体选自空气、臭氧、氧、一氧化二氮和氧化一氮。
18.如权利要求17所述的方法,其中w的值为18-20原子%。
19.如权利要求18所述的方法,其中x的值为18-21原子%。
20.如权利要求19所述的方法,其中y的值为31-38原子%。
21.如权利要求20所述的方法,其中z的值为25-32原子%。
22.如权利要求21所述的方法,其中金属布线是铝。
23.如权利要求22所述的方法,其中金属布线是铜。
CNA028034384A 2001-01-03 2002-01-03 金属离子扩散阻挡层 Pending CN1524291A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US25948901P 2001-01-03 2001-01-03
US60/259,489 2001-01-03

Publications (1)

Publication Number Publication Date
CN1524291A true CN1524291A (zh) 2004-08-25

Family

ID=22985168

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA028034384A Pending CN1524291A (zh) 2001-01-03 2002-01-03 金属离子扩散阻挡层

Country Status (6)

Country Link
US (1) US20020137323A1 (zh)
JP (1) JP4242648B2 (zh)
KR (1) KR100837100B1 (zh)
CN (1) CN1524291A (zh)
TW (1) TWI272694B (zh)
WO (1) WO2002054484A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102074549A (zh) * 2009-11-19 2011-05-25 台湾积体电路制造股份有限公司 一种具有可挠性介电层的内连线
CN111918680A (zh) * 2018-04-04 2020-11-10 百多力两合公司 涂覆的可植入式医疗装置和涂覆方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4152619B2 (ja) * 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
JP4142941B2 (ja) * 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6875693B1 (en) * 2003-03-26 2005-04-05 Lsi Logic Corporation Via and metal line interface capable of reducing the incidence of electro-migration induced voids
US7081673B2 (en) * 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US7199046B2 (en) * 2003-11-14 2007-04-03 Tokyo Electron Ltd. Structure comprising tunable anti-reflective coating and method of forming thereof
US7736728B2 (en) 2004-08-18 2010-06-15 Dow Corning Corporation Coated substrates and methods for their preparation
KR101154215B1 (ko) 2004-08-18 2012-06-18 다우 코닝 코포레이션 SiOC:H 피복된 기판 및 이의 제조방법
KR100967266B1 (ko) * 2008-05-26 2010-07-01 주식회사 삼안 태양광 추적장치 및 그 추적 방법
JP2012182426A (ja) * 2011-02-09 2012-09-20 Canon Inc 固体撮像装置、固体撮像装置を用いた撮像システム及び固体撮像装置の製造方法
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US10163981B2 (en) * 2016-04-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Metal landing method for RRAM technology
US11152262B2 (en) * 2018-11-30 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate devices and processes

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102074549A (zh) * 2009-11-19 2011-05-25 台湾积体电路制造股份有限公司 一种具有可挠性介电层的内连线
CN102074549B (zh) * 2009-11-19 2016-03-30 台湾积体电路制造股份有限公司 一种具有可挠性介电层的内连线
CN111918680A (zh) * 2018-04-04 2020-11-10 百多力两合公司 涂覆的可植入式医疗装置和涂覆方法

Also Published As

Publication number Publication date
US20020137323A1 (en) 2002-09-26
KR100837100B1 (ko) 2008-06-13
WO2002054484A2 (en) 2002-07-11
JP2004523889A (ja) 2004-08-05
TWI272694B (en) 2007-02-01
KR20030071797A (ko) 2003-09-06
WO2002054484A3 (en) 2003-02-13
JP4242648B2 (ja) 2009-03-25

Similar Documents

Publication Publication Date Title
JP5567588B2 (ja) 酸素含有前駆体を用いる誘電体バリアの堆積
KR100586133B1 (ko) 반도체 장치에서 레벨내 또는 레벨간 유전체로서의 극저유전상수 물질, 이의 제조방법 및 상기 물질을 함유하는전자 장치
JP3731932B2 (ja) 炭化ケイ素の金属拡散障壁層
US6649540B2 (en) Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
CN1524291A (zh) 金属离子扩散阻挡层
CN100437933C (zh) 改善层间附着的方法
US6541398B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
JP4090740B2 (ja) 集積回路の作製方法および集積回路
KR101051276B1 (ko) 이중 다마신 배선의 패터닝을 위한 3층 마스킹 구조물
US20080009141A1 (en) Methods to form SiCOH or SiCNH dielectrics and structures including the same
KR101144535B1 (ko) 전구체 함유 질소를 사용한 유전 장벽 증착
KR100702508B1 (ko) 유전 물질 및 beol 상호 접속 구조체
KR101327640B1 (ko) 유전체막 형성 방법 및 상기 방법을 실행하는 신규한전구체
KR20020007224A (ko) 확산을 감소시키도록 낮은 유전상수의 유전층을 처리하기위한 방법 및 장치
CN2772027Y (zh) 多层半导体装置
CN102770580A (zh) 藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料
JP3882914B2 (ja) 多相低誘電率材料およびその堆積方法
CN1595634A (zh) 具有改进的SiCOH介质的界面强度的结构及其制备方法
CN1406107A (zh) 一种改善有机低介电常数层附着力的表面处理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication