CN1369112A - 集成电路中自调准Cu扩散阻挡层的制造方法 - Google Patents

集成电路中自调准Cu扩散阻挡层的制造方法 Download PDF

Info

Publication number
CN1369112A
CN1369112A CN00811466A CN00811466A CN1369112A CN 1369112 A CN1369112 A CN 1369112A CN 00811466 A CN00811466 A CN 00811466A CN 00811466 A CN00811466 A CN 00811466A CN 1369112 A CN1369112 A CN 1369112A
Authority
CN
China
Prior art keywords
metal
barrier metal
dielectric layer
ditch
filling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN00811466A
Other languages
English (en)
Other versions
CN1165986C (zh
Inventor
H·钟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
AlliedSignal Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AlliedSignal Inc filed Critical AlliedSignal Inc
Publication of CN1369112A publication Critical patent/CN1369112A/zh
Application granted granted Critical
Publication of CN1165986C publication Critical patent/CN1165986C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本申请涉及一种自调准金属扩散阻挡层的微电子器件。这种微电子器件具有基片(2)和基片上的介电层(4)。穿过介电层(4)形成具有内壁的沟。在沟的内壁上具有阻挡层金属(6)的衬里,填充金属(8)位于沟内壁衬里之间的沟内。填充金属(8)和阻挡层金属(6)具有基本上不同的去除选择性。阻挡层金属的覆层(14)位于填充金属上,该覆层跨越沟内壁上的衬里,并与沟内填充金属的顶部相一致。

Description

集成电路中自调准Cu扩散阻挡层的制造方法
                        发明背景
发明领域
本发明涉及如集成电路构件等微电子器件的形成。更具体而言,本发明涉及具有自调准金属扩散阻挡层的微电子器件。
相关技术的说明
微电子器件的生产,要求在器件内具有多层连接布线的区域。在制造这类构件时,通常形成具有第一布线层、介电中间层(ILD)、然后形成第二布线层的基片。一般在第一和第二布线层之间形成一个或多个连接处。在介电层上形成一些开孔,孔中充满金属,形成金属插接连接。在形成二层互连的结构以后,需要形成另一介电中间层(ILD),以适应集成电路器件的进一步加工。金属间的介电层,通常由一层介电材料和如二氧化硅的氧化物组成,是由等离子体增强的化学蒸气沉积或其它方法沉积的。当采用镶嵌方法制造用铜连接的集成电路时,常规的制造方法要求在铜连接的顶部有一层氮化硅膜,以防止铜向上扩散进入ILD中。然而,使用氮化硅有二个主要缺点。其介电常数高,因此,由于串联和层间电容的增加,造成速度和性能下降。对于采用0.18μm和更先进的技术制造集成电路,这种速度下降是不能接受的。在铜连接上面,氮化硅起铜扩散阻挡层的作用,然而,它的使用还有其它问题。在通路蚀刻过程中,必须去除铜连接上面的一部分氮化硅,以便能通过通路在二个相邻的连接层之间建立导电通路。对于孔通路,通常采用各向异性的等离子体蚀刻。通常是在通路中进行过度蚀刻,以确保完全暴露下面的铜。在此过度蚀刻的过程中,有些铜被反溅射,并沉积在通道的侧壁上,或重新沉积在铜连接上。在溅射蚀刻过程中,反溅射的铜沉积在通道的侧壁上。通道侧壁上沉积的铜很容易通过ILD进行扩散,最终造成二个相邻的连接之间发生电短路,从而引起重大的可靠性问题。产生这些问题的原因是使用氮化硅介电材料作为扩散阻挡层,以及在等离子体蚀刻或溅射蚀刻过程中,铜和ILD的同时暴露。根据本发明,这些问题可采用一种导电的,即金属的扩散阻挡层代替氮化硅来解决。可在铜连接的顶上沉积与在侧壁上和在铜连接的底部通常使用的相同的金属阻挡层。
                        发明概述
本发明提供一种制造微电子器件的方法,其中包括:
(a)在基片上形成第一介电层;
(b)穿过第一介电层,形成具有内壁的沟;
(c)采用第一层阻挡层金属衬在沟的内壁上,并覆盖在第一介电层的顶上;
(d)采用填充金属填充沟,并用一层填充金属覆盖在第一层阻挡层金属的顶上,其中的填充金属和阻挡层金属具有基本上不同的去除选择性;
(e)从第一层阻挡层金属的顶上去除填充金属层,并在沟内的填充金属中形成凹槽,该凹槽延伸到第一介电层上第一层阻挡层金属顶部下面的水平面;
(f)采用阻挡层金属填充这个凹槽,并在第一层阻挡层金属的顶上任选地沉积第二层阻挡层金属;
(g)从第一介电层的顶部去除任选的第二层阻挡层金属,保留凹槽内的阻挡层金属,使凹槽内的阻挡层金属与沟中下面的填充金属的顶部相一致;
(h)在第一介电层和凹槽内的阻挡层金属上沉积第二介电层。
本发明还提供一种微电子器件,其中包括基片和基片上的介电层;穿过介电层的具有内壁的沟;沟内壁上的阻挡层金属衬里;在沟内壁上衬里之间的沟中的填充金属,其中填充金属和阻挡层金属具有基本上不同的去除选择性;在填充金属上的阻挡层金属的覆层,该覆层跨越沟内壁上的衬里,并与沟内填充金属的顶部相一致。
                        附图简述
图1示出一种现有技术的微电子器件,图中示出较低的介电中间层(ILD)、金属阻挡层、铜填充的连接、和在铜连接上的氮化硅层。
图2示出一个现有技术的微电子器件,图中示出在氮化硅层中切开的通路,有铜反溅射和沉积在通路的侧壁上。
图3示出微电子器件的一部分,该器件具有介电中间层、沉积在ILD上的阻挡层金属、和沉积在阻挡层金属上的铜。
图4示出微电子器件的一部分,该器件具有介电中间层、沉积在ILD上的阻挡层金属和铜,具有从ILD的顶部除去的铜和铜连接中的凹槽。
图5示出图4的微电子器件的一部分,该器件已在ILD和铜连接上沉积有阻挡层金属。
图6示出一种微电子器件,该器件已从ILD的顶部去除阻挡层金属。
图7示出图6中的微电子器件,该器件已在铜连接上的阻挡层金属上的ILD中切开一个通路。
                  对优选实施方案的详细说明
根据现有技术,在图1所示的基片2上形成第一介电中间层4。随后在第一介电层中切开一个沟。然后在这个沟中衬上阻挡层金属6,并用填充金属8填平沟,填充金属通常是铜。阻挡层金属能防止铜迁移到第一介电层中。然后在铜和第一介电层的顶部沉积氮化硅扩散阻挡层7,该层再用第二介电中间层16覆盖。氮化硅能防止填充的铜渗入第二介电层中。作为图2所示现有技术加工过程的下一个步骤,穿过第二介电层和穿过氮化硅蚀刻通道9,直至达到用铜填充的沟为止。此蚀刻使铜反溅射并沉积在通路的侧壁上,以11表示。
根据图3所示的本发明的第一个步骤,在基片2上附着第一介电中间层4。典型的基片包括那些适合加工成集成电路或其它微电子器件的材料。适合本发明的基片不排除包括一些半导体材料如砷化镓(GaAs)、锗、硅、硅锗、铌酸锂、和包含硅的组合物如晶体硅、聚硅、无定形硅、晶体取向生长的硅、二氧化硅(SiO2)、和它们的混合物。
可任选在基片的表面上有一些线路。在有线路存在时,这些线路一般是由众所周知的石印技术形成的,可由金属、氧化物、氮化物、或氧氮化物组成。适合线路的材料包括二氧化硅、氮化硅、氮化钛、氮化钽、铝、铝合金、铜、铜合金、钽、钨、和氧氮化硅。这些线路形成集成电路的导体或绝缘体。这些线路一般按一定距离互相严格隔开,该距离优选约≤20μm,更优选约≤1μm,最优选约0.05至约1μm。
介电材料组合物,可以包括本领域熟知的制造微电子器件使用的各种介电形成材料。介电层不排除包括含硅的旋涂玻璃,即含硅的聚合物如烷氧基硅烷聚合物、硅倍半氧烷聚合物、硅氧烷聚合物、聚(亚芳基醚)、氟化聚(亚芳基醚)、其它聚合的介电材料、纳米孔二氧化硅、或它们的混合物。
一种适合本发明使用的聚合介电材料,包括纳米孔二氧化硅烷氧基硅烷聚合物,这种聚合物是由具有下列通式的烷氧基硅烷单体形成的:
Figure A0081146600071
式中至少2个R基分别为C1-C4烷氧基,如果还有R基,剩下的R基分别选自氢、烷基、苯基、卤素、被取代的苯基。每个R基优选为甲氧基、乙氧基、或丙氧基。这种聚合物在市场上可以以NanoglassTM名称从AllieSignal购买。最优选的烷氧基硅烷单体是四乙氧基硅烷(TEOS)。通式为[(HSiO1.5)xOy]n的氢硅氧烷、通式为(HSiO1.5)n的氢硅倍半氧烷、通式为[(HSiO1.5)xOy(RSiO1.5)z]n、[(HSiO1.5)x(RSiO1.5)y]n、和[(HSiO1.5)xOy(RSiO1.5)z]n的氢化有机硅氧烷也是适宜的,在这些聚合物的每一种通式中,x=约6至约20,y=1至约3,z=约6至约20,n=1至约4000,每个R分别为H、C1-C8烷基、或C6-C12芳基。重均分子量可为约1000至约220000。在优选的实施方案中,n为约100至约800,产生的分子量为约5000至约45000。更优选n为约250至约650,产生的分子量为约14000至约36000。在本发明的范围内,适合使用的聚合物不排除包括氢硅氧烷、氢硅倍半氧烷、氢甲基硅氧烷、氢乙基硅氧烷、氢丙基硅氧烷、氢丁基硅氧烷、氢叔丁基硅氧烷、氢苯基硅氧烷、氢甲基硅倍半氧烷、氢乙基硅倍半氧烷、氢丙基硅倍半氧烷、氢丁基硅倍半氧烷、氢叔丁基硅倍半氧烷、氢苯基硅倍半氧烷、和它们的混合物。适合使用的有机聚合物包括聚酰亚胺、氟化和未氟化的聚合物,特别是以商品名FLARETM,从AllieSignal有限责任公司买到的氟化和未氟化的聚(芳基醚),和它们的共聚物混合物。优选氢化有机硅氧烷、聚(亚芳基醚)、氟化聚(亚芳基醚)、和它们的混合物。本领域从美国专利5,155,175、5,114,780和5,115,082中,已经知道适宜的聚(亚芳基醚)或氟化聚(亚芳基醚)。在1997年12月12日提交的,序号为08/990,157的美国专利申请中,公开了优选的聚(亚芳基醚)和氟化聚(亚芳基醚),在此引入该申请作为参考。
适合在本发明中使用的优选的硅氧烷材料,在市场上可以以商品名AccuglassT-11、T-12、和T-14,从AllieSignal有限责任公司购买。以商品名PurespinTM和AccuglassT-18、T23和T24,从AllieSignal有限责任公司购买的甲基化的硅氧烷聚合物,也是适合使用的。
优选的含硅介电树脂,包括具有选自[(HSiO1.5)xOy]n、(HSiO1.5)n、[(HSiO1.5)xOy(RSiO1.5)z]n、[(HSiO1.5)x(RSiO1.5)y]n、和[(HSiO1.5)xOy(RSiO1.5)z]n通式的聚合物,式中x=约6至约20,y=1至约3,z=约6至约20,n=1至约4000,每个R分别为H、C1-C8烷基、或C6-C12芳基,在1997年10月22日提交的,序号为08/955,802的美国专利申请中,已经公开这些内容,在此引入该申请作为参考。某些有机含量低的含硅聚合物也是优选的,例如具有通式I的聚合物:
     [H-SiO1.5]n[R-SiO1.5]m
     [H0.4-1.0SiO1.5-1.8]n[R0.4-1.0-SiO1.5-1.8]m
     [H0-1.0-SiO1.5-2.0]n[R-SiO1.5]m
     [H-SiO1.5]x[R-SiO1.5]y[SiO2]z,式中n和m之和,或x、y、z之和为约8至约5000,选择x和y,使含碳的取代基的存在量<约40摩尔%。具有结构I的聚合物是低有机含量的,其中含碳取代基的存在量<约40摩尔%。在1998年3月20日提交的,序号为09/044,831的美国专利申请中,更详细地叙述了这些聚合物,在此引入该申请作为参考。某些有机含量低的含硅的聚合物也是优选的,例如具有通式II的聚合物:
        [HSiO1.5]n[RSiO1.5]m
        [H0.4-1.0SiO1.5-1.8]n[R0.4-1.0SiO1.5-1.8]m
        [H0-1.0SiO1.5-2.0][RSiO1.5]m,式中n和m之和为约8至约5000,选择m,使含碳的取代基存在量为约≥40厚尔%,和
        [HSiO1.5]x[RSiO1.5]y[SiO2]z;式中x、y和z之和为约8至约5000,选择y,使含碳的取代基的存在量为约≥40摩尔%;其中R选自取代和未取代的直链和支链烷基、环烷基、取代和未取代的芳基、和它们的混合物。含碳取代基的具体摩尔%是原料量比例的函数。结构II的聚合物,其有机含量高,其中含碳取代基的存在量为约≥40摩尔%。在1998年3月20日提交的,序号为09/044,798的美国专利申请中,更详细地叙述了这些聚合物。在此引入该申请作为参考。
这些聚合物可以以纯的或净态(不与任何溶剂混合)介电组合物的形式存在,或以溶液存在,在溶液中它们与溶剂混合。当存在溶剂时,聚合物的存在量优选约1重量%至约50重量%,更优选约3重量%至约20重量%。溶剂成分的存在量,优选为介电材料组合物的约50重量%至约99重量%,更优选约80重量%至约97重量%。适宜的溶剂不排除包括非质子溶剂如包括环戊酮、环己酮、和环辛酮在内的环酮类;环酰胺如N-烷基吡咯烷酮,其中烷基具有1至约4个碳原子,N-环己基-吡咯烷酮,和它们的混合物。
在制造时,将介电组合物沉积在适宜的基片上,因而在基片上形成聚合物层。可采用本领域众所周知的常规旋涂、浸涂、辊涂、喷雾、化学蒸气沉积法、或液面涂法进行沉积。旋涂是最优选的。基片上聚合物层的厚度可以改变,取决于沉积方法和参数的设置,厚度一般可为约500④至约50000④,优选约2000④至约12000④。施加在基片上的介电组合物量,可为约1ml至约10ml,优选约2ml至约8ml。在优选的实施方案中,根据已知的旋涂技术,将液态介电组合物旋涂在基片的上表面上。施加聚合物层的方法,优选将液态介电组合物施加在基片的中央,然后以约500至约6000rpm,优选以约1500至约4000rpm的转速,旋转转轮上的基片约5秒至约60秒,优选约10秒至约30秒,以便将溶液在整个基片的表面上均匀地展开。聚合物层的密度优选约1g/cm3至约3g/cm3
可任选地加热介电层,排除残留的溶剂,或提高其分子量。可采用常规的装置进行加热如在空气中或在惰性气氛中在电热板上加热,或在空气中或在惰性气氛中在炉中或在烘箱中加热,或在真空炉中或在真空烘箱中加热。加热温度优选约80℃至约500℃,更优选约150℃至约425℃。这步加热优选进行约1分钟至约360分钟,更优选约2分钟至约60分钟。聚合物层也可任选地暴露在光合光中,例如UV光,以便增加其分子量。曝光量可为约100mJ/cm2至约300mJ/cm2
介电层4可任选地全部暴露在电子束的辐照下,使介电材料固化。电子束辐照可在任何室中进行,该室具有对室内放置的基片进行电子束辐照的装置。优选电子束辐照步骤采用大面积电子束源的宽、大电子束进行辐照。优选采用具有大面积电子源的电子束室。适宜的电子束室,在市场上可以以商品名“ElectronCureTM”从ElectronVision——AllieSignal有限责任公司的一个部门——购买。在美国专利5,003,178中,叙述了这种装置的操作原理和性能特征,在此引入其公开内容作为参考。电子束辐照的温度优选约20℃至约450℃,更优选约50℃至约400℃,最优选约200℃至约400℃。电子束的能量优选约0.5KeV至约30KeV,更优选约3至约10KeV。电子剂量优选约1至约50000μC/cm2,更优选约50至约20,000μC/cm2。电子束设备中的气体环境,可以是任一种下列气体:氮、氧、氢、氩、氢和氮的混合物、氨、氙、或这些气体的任一组合。电子束电流优选约1至约40mA,更优选约5至约20mA。电子束辐照步骤优选采用均匀的大面积电子束源的宽、大电子束进行辐照,电子束辐照覆盖的面积为约4至约256平方英寸。
然后采用众所周知的光刻技术,使用光刻胶组合物,在介电层中形成沟。光刻胶组合物可以是正加工或负加工,一般可在市场上购买。适宜的正性胶的光刻胶,在本领域是众所周知的,它可包括邻-醌二迭氮辐照敏化剂。邻-醌二迭氮敏化剂包括在序号为2,797,213、3,106,465、3,148,983、3,130,047、3,201,329、3,785,825、和3,802,885的美国专利中公开的邻-醌-4-或-5-苯磺酰-二迭氮。在采用邻-醌二迭氮时,优选的粘合树脂包括不溶于水但可溶于碱性水溶液的或能溶涨的粘合树脂,粘合树脂优选线型酚醛清漆。例如,在市场上可以以商品名AZ-P4620,从新泽西州萨莫维尔的Clariant公司获得适宜的正性胶的光致介电树脂。然后使光刻胶通过掩模以成象方式受到光合辐照如光谱中可见、紫外或红外区域光的光合辐照,或采用电子束、离子或中子束、或X-射线辐射扫描。光合辐照可以采取不相干性光或相干性光的形式,例如激光器的光。然后采用适宜的溶剂,例如碱性水溶液,以成象方式使光刻胶显影。任选加热光刻胶,固化其成象部分,然后显影,除去未成象的部分,并界定通路的掩模。然后采用本领域众所周知的蚀刻技术形成通路。其次,从介电材料表面上全部去除光刻胶,并采用等离子体蚀刻蚀刻通路的内壁。在美国专利5,174,856和5,200,031中叙述了能够进行蚀刻的等离子体发生器。
其次,采用阻挡层金属6衬在第一介电中间层的沟槽和顶部上,该阻挡层金属将介电材料与沉积进带衬沟中的填充金属隔开。适宜的阻挡层金属包括钛、氮化钛、钽、和氮化钽。可以采用众所周知的溅射、蒸发、电镀、或蒸气沉积技术施加这类金属。
其次,采用填充沟8的导电金属填充带衬的沟6,并在图3所示已固化的介电材料4的顶上也形成顶层10。适宜的填充金属包括在制造微电子器件中一般采用的铝、铝合金、铜、铜合金、钽、钨、钛、或其它金属。然而,铜是最优选的。可采用蒸气沉积、溅射、蒸发等技术施加这类金属。选择填充金属和阻挡层金属的一个重要标准是它们具有显著不同的去除选择性特征。也就是说,去除阻挡层的加工步骤不会去除填充金属,反之亦然。
然后,从介电材料的顶上去除填充金属,并在填充金属的最上部形成凹槽12。这个凹槽可以采用不同的方法形成,例如采用化学-机械抛光(CMP)、等离子体蚀刻、湿法蚀刻、和电抛光的适当组合形成。改进CMP方法的一种方式是使填充金属过度抛光,因而去除多余的填充金属。另一种方法是在常规CMP步骤之后,进行等离子体蚀刻、湿法蚀刻或电抛光。这里关键的要求是,去除填充金属需要有填充金属对阻挡层金属的高选择性。现在将另一个阻挡层金属沉积在第一阻挡层6上,阻挡层金属填入凹槽12,并形成图5所示的填充凹槽14。采用与上述相同的方法沉积阻挡层金属。
其次,采用化学-机械抛光(CMP)、等离子蚀刻、湿法蚀刻或电抛光的方法,从第一介电材料中间层4上去除金属阻挡层,形成图6所示的构件。可以看到,填充的金属铜8,现在已被阻挡层金属完全封闭,因而不需要氮化硅层。除顶部以外,阻挡层金属又处在其所有侧面上的第一介电材料中间层4内。如在图7中所看到的,在图6的构件上再施加上述任一种介电材料的第二介电中间层16。现在可采用石印和蚀刻技术,在上部的第二介电层中切出通路18。在图7中可以看到,该通路是清洁的,即没有铜反溅射到通路壁上。此外,由于凹槽14中的阻挡层金属是导电的,电可通过它与铜8连通。采用金属阻挡层不会明显地增加电容。迄今为止,采用氮化硅介电材料不增加电容是不可能的。如图7所示,本发明的实施还解决了Cu在通路侧壁上的沉积问题,因为在等离子体蚀刻和溅射蚀刻过程中,铜是用阻挡层金属覆盖的。本发明的另一个优点是,覆盖的阻挡层金属完全能自调准与下面铜的连接。现在可采用与上述相似的技术用金属填充这些通路。应当理解,可以重复这些步骤,相互在基片上形成一系列适宜的层和导电的路径。

Claims (21)

1.一种用于形成微电子器件的方法,其中包括:
(a)在基片上形成第一介电层;
(b)穿过第一介电层,形成具有内壁的沟;
(c)采用第一层阻挡层金属衬在沟的内壁上,并覆盖在第一介电层的顶上;
(d)采用填充金属填充沟,并采用一层填充金属覆盖在第一层阻挡层金属的顶上,其中填充金属和阻挡层金属具有基本上不同的去除选择性;
(e)从第一层阻挡层金属的顶上去除填充金属层,并在沟内的填充金属中形成凹槽,该凹槽延伸到第一介电层上第一层阻挡层金属顶部下面的水平面;
(f)采用阻挡层金属填充凹槽,在第一层阻挡层金属的顶上任选地沉积第二层阻挡层金属;
(g)从第一介电层的顶部去除任选的第二层阻挡层金属,并留下凹槽中的阻挡层金属,使凹槽中的阻挡层金属与沟中下面的填充金属的顶部相一致;
(h)在第一介电层上和在凹槽内的阻挡层金属上沉积第二介电层。
2.权利要求1的方法,其中第一介电层包括含硅的聚合物、烷氧基硅烷聚合物、硅倍半氧烷聚合物、硅氧烷聚合物、聚(亚芳基醚)、氟化聚(亚芳基醚)、纳米孔二氧化硅、或它们的组合。
3.权利要求1的方法,其中基片包括半导体或绝缘材料。
4.权利要求1的方法,其中基片包括砷化镓、锗、硅、硅锗、铌酸锂、和含硅的组合物、或它们的组合。
5.权利要求1的方法,其中填充金属包括铜。
6.权利要求1的方法,其中阻挡层金属包括选自钛、氮化钛、钽、和氮化钽的材料。
7.权利要求1的方法,其中采用选自化学机械抛光、等离子体蚀刻、湿法蚀刻、和电抛光的一种或多种方法,去除填充金属。
8.根据权利要求1的方法生产的微电子器件。
9.权利要求1的方法还包括:
(i)形成穿过第二个介电层,延伸到凹槽内阻挡层金属的通路,并采用金属填充该通路。
10.权利要求9的方法,其中填充金属包括铜。
11.权利要求9的方法,其中阻挡层金属包括选自钛、氮化钛、钽、和氮化钽的材料。
12.权利要求9的方法,其中采用金属填充通路,该金属选自铝、铝合金、铜、铜合金、钽、钨、钛、和它们的混合物。
13.根据权利要求9的方法生产的微电子器件。
14.一种微电子器件,其中包括基片和基片上的介电层;穿过该介电层的具有内壁的沟;沟内壁上的阻挡层金属衬里;在沟内壁上衬里之间的沟中的填充金属,其中填充金属和阻挡层金属具有基本上不同的去除选择性;在填充金属上的阻挡层金属的覆层,该覆层跨越沟内壁上的衬里,并与沟内填充金属的顶部相一致。
15.权利要求14的微电子器件,还包括在介电层和阻挡层金属覆层上的第二介电层。
16.权利要求15的微电子器件,还包括穿过第二介电层延伸到覆层的通路,和填充该通路的金属。
17.权利要求16的微电子器件,其中采用金属填充通道,该金属选自铝、铝合金、铜、铜合金、钽、钨、钛、和它们的混合物。
18.权利要求14的微电子器件,其中介电层包括含硅的聚合物、烷氧基硅烷聚合物、硅倍半氧烷聚合物、硅氧烷聚合物、聚(亚芳基醚)、氟化聚(亚芳基醚)、纳米孔二氧化硅、或它们的组合。
19.权利要求14的微电子器件,其中基片包括砷化镓、锗、硅、硅锗、铌酸锂、含硅的组合物、和它们的组合。
20.权利要求14的微电子器件,其中填充金属包括铜。
21.权利要求14的微电子器件,其中阻挡层金属包括选自钛、氮化钛、钽、和氮化钽的材料。
CNB008114668A 1999-06-09 2000-06-09 用于自调准Cu扩散阻挡层的集成电路制造方法 Expired - Fee Related CN1165986C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/328,647 1999-06-09
US09/328,647 US6395607B1 (en) 1999-06-09 1999-06-09 Integrated circuit fabrication method for self-aligned copper diffusion barrier

Publications (2)

Publication Number Publication Date
CN1369112A true CN1369112A (zh) 2002-09-11
CN1165986C CN1165986C (zh) 2004-09-08

Family

ID=23281830

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008114668A Expired - Fee Related CN1165986C (zh) 1999-06-09 2000-06-09 用于自调准Cu扩散阻挡层的集成电路制造方法

Country Status (8)

Country Link
US (2) US6395607B1 (zh)
EP (1) EP1186026A1 (zh)
JP (1) JP2003501833A (zh)
KR (1) KR20020020910A (zh)
CN (1) CN1165986C (zh)
AU (1) AU5477700A (zh)
HK (1) HK1049068A1 (zh)
WO (1) WO2000075982A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100346466C (zh) * 2004-02-27 2007-10-31 恩益禧电子股份有限公司 半导体器件及其制造方法
CN100449684C (zh) * 2003-03-28 2009-01-07 反射公司 用于微机电系统的阻挡层
CN101075578B (zh) * 2006-05-18 2010-09-15 台湾积体电路制造股份有限公司 集成电路的制造方法
CN104465491A (zh) * 2013-09-23 2015-03-25 中芯国际集成电路制造(上海)有限公司 金属互连层的形成方法

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3708732B2 (ja) 1998-12-25 2005-10-19 Necエレクトロニクス株式会社 半導体装置の製造方法
JP4064595B2 (ja) * 2000-03-28 2008-03-19 株式会社東芝 半導体装置の製造方法
US6902771B2 (en) * 2000-02-01 2005-06-07 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6455370B1 (en) * 2000-08-16 2002-09-24 Micron Technology, Inc. Method of patterning noble metals for semiconductor devices by electropolishing
US7112121B2 (en) * 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7094131B2 (en) * 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US7153410B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US7160176B2 (en) * 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7074113B1 (en) * 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7078308B2 (en) * 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7134934B2 (en) * 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US7192335B2 (en) * 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7026053B2 (en) * 2001-01-29 2006-04-11 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6638863B2 (en) * 2001-04-24 2003-10-28 Acm Research, Inc. Electropolishing metal layers on wafers having trenches or vias with dummy structures
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
TW503522B (en) * 2001-09-04 2002-09-21 Nanya Plastics Corp Method for preventing short circuit between metal conduction wires
US7825516B2 (en) * 2002-12-11 2010-11-02 International Business Machines Corporation Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US7008871B2 (en) * 2003-07-03 2006-03-07 International Business Machines Corporation Selective capping of copper wiring
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7153777B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US20060043534A1 (en) * 2004-08-26 2006-03-02 Kirby Kyle K Microfeature dies with porous regions, and associated methods and systems
US7566391B2 (en) * 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
GB2444210B (en) * 2005-09-30 2008-09-17 Advanced Micro Devices Inc Technique for forming a copper-based metallization layer including a conductive capping layer
DE102006004412B3 (de) * 2006-01-31 2007-08-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Ätzselektivität in einer Kontaktstruktur in Halbleiterbauelementen
US7619310B2 (en) * 2006-11-03 2009-11-17 Infineon Technologies Ag Semiconductor interconnect and method of making same
US9281239B2 (en) 2008-10-27 2016-03-08 Nxp B.V. Biocompatible electrodes and methods of manufacturing biocompatible electrodes
US20100190272A1 (en) * 2009-01-23 2010-07-29 United Microelectronics Corp. Rework method of metal hard mask
WO2014055221A2 (en) 2012-10-01 2014-04-10 Abb Research Ltd. Electrical machine rotors
KR101599953B1 (ko) 2013-08-08 2016-03-04 제일모직 주식회사 실리카계 절연층 형성용 조성물, 실리카계 절연층 및 실리카계 절연층의 제조방법
TWI557622B (zh) * 2014-03-31 2016-11-11 Sensing circuit structure and manufacturing method thereof

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5262354A (en) 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
JPH06124948A (ja) * 1992-08-31 1994-05-06 Sony Corp 配線形成方法
US5380546A (en) * 1993-06-09 1995-01-10 Microelectronics And Computer Technology Corporation Multilevel metallization process for electronic components
JP3297220B2 (ja) 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
US5442235A (en) * 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US5686761A (en) 1995-06-06 1997-11-11 Advanced Micro Devices, Inc. Production worthy interconnect process for deep sub-half micrometer back-end-of-line technology
US5801094A (en) 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
JP3228181B2 (ja) * 1997-05-12 2001-11-12 ヤマハ株式会社 平坦配線形成法
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
JP3641111B2 (ja) * 1997-08-28 2005-04-20 株式会社ルネサステクノロジ 半導体装置の製造方法
JP3092587B2 (ja) 1998-04-22 2000-09-25 日本電気株式会社 半導体装置の製造方法
US6110648A (en) * 1998-09-17 2000-08-29 Taiwan Semiconductor Manufacturing Company Method of enclosing copper conductor in a dual damascene process

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100449684C (zh) * 2003-03-28 2009-01-07 反射公司 用于微机电系统的阻挡层
CN100346466C (zh) * 2004-02-27 2007-10-31 恩益禧电子股份有限公司 半导体器件及其制造方法
CN101075578B (zh) * 2006-05-18 2010-09-15 台湾积体电路制造股份有限公司 集成电路的制造方法
US8193087B2 (en) 2006-05-18 2012-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Process for improving copper line cap formation
US8623760B2 (en) 2006-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving copper line cap formation
CN104465491A (zh) * 2013-09-23 2015-03-25 中芯国际集成电路制造(上海)有限公司 金属互连层的形成方法
CN104465491B (zh) * 2013-09-23 2018-01-12 中芯国际集成电路制造(上海)有限公司 金属互连层的形成方法

Also Published As

Publication number Publication date
WO2000075982A1 (en) 2000-12-14
EP1186026A1 (en) 2002-03-13
KR20020020910A (ko) 2002-03-16
JP2003501833A (ja) 2003-01-14
US20020086487A1 (en) 2002-07-04
US6504247B2 (en) 2003-01-07
AU5477700A (en) 2000-12-28
HK1049068A1 (zh) 2003-04-25
CN1165986C (zh) 2004-09-08
US6395607B1 (en) 2002-05-28

Similar Documents

Publication Publication Date Title
CN1165986C (zh) 用于自调准Cu扩散阻挡层的集成电路制造方法
US6583047B2 (en) Method for eliminating reaction between photoresist and OSG
US6770975B2 (en) Integrated circuits with multiple low dielectric-constant inter-metal dielectrics
US8450854B2 (en) Interconnect structures with patternable low-k dielectrics and method of fabricating same
WO2000055902A1 (en) Electron beam process during damascene processing
US6509259B1 (en) Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
US6498399B2 (en) Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
US7285842B2 (en) Siloxane epoxy polymers as metal diffusion barriers to reduce electromigration
US6489030B1 (en) Low dielectric constant films used as copper diffusion barrier
US6097095A (en) Advanced fabrication method of integrated circuits with borderless vias and low dielectric-constant inter-metal dielectrics
JP2007535177A (ja) 低κ誘電体付与のためのシロキサンエポキシポリマー
US6452275B1 (en) Fabrication of integrated circuits with borderless vias
US20030205815A1 (en) Fabrication method of integrated circuits with borderless vias and low dielectric constant inter-metal dielectrics
JP2002170882A (ja) 配線構造の製造方法
AU2002232816A1 (en) Method for eliminating reaction between photoresist and organosilicate glass

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee
REG Reference to a national code

Ref country code: HK

Ref legal event code: WD

Ref document number: 1049068

Country of ref document: HK