CN114914198A - 栅极间隙壁、其形成方法及半导体装置 - Google Patents

栅极间隙壁、其形成方法及半导体装置 Download PDF

Info

Publication number
CN114914198A
CN114914198A CN202210060076.8A CN202210060076A CN114914198A CN 114914198 A CN114914198 A CN 114914198A CN 202210060076 A CN202210060076 A CN 202210060076A CN 114914198 A CN114914198 A CN 114914198A
Authority
CN
China
Prior art keywords
spacer layer
gate
spacer
layer
dummy gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210060076.8A
Other languages
English (en)
Inventor
程德恩
卢永诚
徐志安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN114914198A publication Critical patent/CN114914198A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本揭露有关于一种栅极间隙壁、其形成方法及半导体装置,栅极间隙壁的形成方法包含以下步骤。形成鳍部于基材上。虚设栅极结构横跨鳍部。间隙壁层沉积于虚设栅极结构上。间隙壁层具有在虚设栅极结构的孔洞内的第一部分,以及在虚设栅极结构的孔洞外的第二部分。间隙壁层的第二部分被处理成具有不同于间隙壁层的第一部分的材料组成,且然后被蚀刻以形成于虚设栅极结构侧壁上的栅极间隙壁。对虚设栅极结构进行蚀刻制程,以形成栅极沟槽于栅极间隙壁之间。蚀刻制程以快于蚀刻栅极间隙壁的蚀刻速率蚀刻间隙壁层的第一部分。栅极结构形成于栅极沟槽内。

Description

栅极间隙壁、其形成方法及半导体装置
技术领域
本揭露是有关于一种栅极间隙壁的形成方法,且特别是有关于一种致密化栅极间隙壁的形成方法。
背景技术
半导体集成电路(IC)材料及设计的技术的进步已造就多世代的IC,其中每一代具有比前一代更小且更复杂的电路。在IC发展过程中,一般地,已增加功能密度(即,每个晶片面积的互连装置的数目),且已减小几何尺寸(即,可以使用制造制程所产生的最小部件(或线))。此按比例缩小的制程一般通过提高生产效率及降低相关成本来提供利益。
发明内容
本揭露的一实施例揭露一种栅极间隙壁的形成方法,其包含:形成从基材延伸的多个半导体鳍部;形成延伸横跨此些半导体鳍部的虚设栅极结构,虚设栅极结构具有于此些半导体鳍部间的孔洞;沉积间隙壁层于虚设栅极结构的上方,间隙壁层具有于虚设栅极结构的孔洞内的第一部分及于虚设栅极结构的孔洞外的第二部分;处理间隙壁层,以致于间隙壁层的第二部分具有不同于间隙壁层的第一部分的材料组成;蚀刻间隙壁层的第二部分,以形成多个间隙壁于虚设栅极结构的侧壁上;对虚设栅极结构进行蚀刻制程,以形成栅极沟槽于此些栅极间隙壁之间,其中蚀刻制程以快于蚀刻此些栅极间隙壁的蚀刻速率蚀刻此些间隙壁层的第一部分;以及形成栅极结构于栅极沟槽内。
本揭露的一实施例揭露一种栅极间隙壁的形成方法,其包含:形成多个半导体鳍部于基材上方;沉积多晶硅层于此些半导体鳍部上方,其中多晶硅层具有孔洞;图案化多晶硅层成为多晶硅结构,以致于在多晶硅结构的侧壁暴露出孔洞;沉积间隙壁层于多晶硅结构上方,其中间隙壁层具有在孔洞外的外部及从外部延伸到孔洞内的内部;进行自由基处理,以致密化间隙壁层的外部,而保留间隙壁层的内部未致密化;图案化间隙壁层的经致密化的外部成为于多晶硅结构的相对的两个侧壁上的多个栅极间隙壁;对多晶硅结构进行蚀刻制程,其中在蚀刻制程中,间隙壁层的未经致密化的内部具有大于此些栅极间隙壁的蚀刻量的蚀刻量;以及在蚀刻制程完成后,形成栅极结构于此些栅极间隙壁之间。
本揭露的一实施例揭露一种半导体装置,其包含:于基材上方的半导体鳍部,延伸横跨半导体鳍部的栅极结构,分别在栅极结构相对的两个侧边上的源极磊晶结构及漏极磊晶结构,分离源极磊晶结构及栅极结构的第一栅极间隙壁,以及分离漏极磊晶结构及栅极结构的第二栅极间隙壁,其中第一栅极间隙壁及第二栅极间隙壁由有机硅玻璃材料制得,有机硅玻璃材料具有高于氧化硅的介电常数的介电常数。
附图说明
当结合附图阅读时,从以下详细描述中,可最佳地理解本揭露的态样。注意的是,根据业界的标准惯例,各种特征并未按比例绘制。事实上,为了清楚讨论起见,可任意放大或缩小各种特征的尺寸。
图1、图2、图3A、图4A、图5A、图6A及图7A是根据本揭露的一些实施例在制造晶体管的中间阶段的透视图;
图3B、图4C、图5C、图6C、图7C、图8B、图9B、图10B及图11B是在制造晶体管的中间阶段沿着第一切线的横截面图,第一切线为在鳍部至鳍部的区域内沿着半导体鳍部的纵向的一切线;
图3C、图4D、图5D、图6D、图7D、图8C、图9C、图10C及图11C是在制造晶体管的中间阶段沿着第二切线的横截面图,第二切线为在栅极区域内沿着垂直于半导体鳍部的纵向的方向的一切线;
图4B、图5B、图6B、图7B、图8A、图9A、图10A及图11A是在制造晶体管的中间阶段沿着第三切线的横截面图,第三切线为在半导体鳍部内沿着半导体鳍部的纵向的一切线;
图6E是根据本揭露的一些实施例中用于进行氢自由基处理的示例性制程工具的侧视图;
图12是根据本揭露的一些其他实施例的晶体管的横截面图。
【符号说明】
100:基材
102:鳍部
102C:通道区域
102D/S:漏极及源极区域
103:绝缘材料
104:浅沟槽隔离区域
105:虚设介电层
106:虚设栅极介电层
107:虚设栅极层
108:虚设栅极电极
109:孔洞
110:虚设栅极结构
112,114:光罩
116:间隙壁层
116p:突出部分
116v:垂直部分
118:处理
119:经致密化的间隙壁层
120,120’:栅极间隙壁
120r:凹陷区域
120c:凹的表面
122:鳍部间隙壁
124:源极/漏极磊晶结构
126:层间介电层
128:栅极结构
130:栅极介电层
132:功函数金属层
134:填充金属
202:入口
203,213:箭号
204:电浆产生区域
206,210:电极
212:处理区域
214:排气口
220:支撑夹盘
230:电浆
+,-:带电离子
DL1:虚线
FT:场效晶体管
GT:栅极沟槽
H1:高度
R:不带电电浆
R1:鳍部至鳍部的间隙
T1,T2:厚度
W1:鳍部至鳍部的距离
U1,U2:U形横截面
X1,X2,Y:切线
具体实施方式
为了实施所提供的标的的不同特征,以下揭露提供许多不同的实施例或实例。以下描述组件及配置的具体实例以简化本揭露。当然,此些组件及配置仅为实例,而非意欲进行限制。例如,形成第一特征于第二特征的上方或在其上之后续描述可包括以直接接触的方式形成第一特征与第二特征的实施例,且亦可包括可在第一特征与第二特征之间形成附加的特征的实施例,以使第一特征与第二特征可不直接接触。此外,本揭露可于各种实例中重复元件符号及/或元件名称。此重复是为了简化及清楚的目的,其本身并不规定所讨论的各种实施例及/或组态之间的关系。
进一步,为了便于描述,在本文中可使用空间相对术语(诸如“在…之下(beneath)”、“在…下方(below)”、“下方的(lower)”、“在…之上(above)”、“上方的(upper)”及类似术语)来描述如附图中所绘示的一个元件或特征与另一个(另一些)元件或特征的关系。除了附图中所描绘的定向之外,空间相对术语意欲涵盖装置在使用中或操作中的不同定向。可使用其他方位(旋转90度或以其他方位)来定向设备,且同样可相应地解释本文所使用的空间相对描述词。如本文所使用的,“附近(around)”、“大约(about)”、“大致上(approximately)”或“实质上(substantially)”一般可意味在一给定的数值或范围的20百分比内、10百分比内或5百分比内。本文所给定的数量值为大致上的,其意味若无明确说明时,可意指术语“附近”、“大约”、“大致上”或“实质上”。
根据各种实施例,提供鳍式场效晶体管(FinFET)及其形成方法。绘示形成FinFET的多个中间阶段。于使用栅极后制制程(gate-last process)(有时称为替代栅极制程)形成FinFET的内文中,讨论在本文中所讨论的一些实施例。一些实施例亦考量用于平面FET或环绕式栅极(gate-all-around)FET的态样。本揭露所属技术领域中具有通常知识者将容易理解于其他实施例的范畴内可行的其他修改可被执行。虽然以特定顺序讨论方法的实施例,可使用任何逻辑上的顺序来进行各种其他方法的实施例,且其可包含本文所述的更少或更多的步骤。
在先进的晶体管技术节点(诸如:7纳米节点、5纳米节点、3纳米节点或更高的技术节点),鳍部至鳍部的间隙(fin-to-fin space)可能太小而不能填充虚设栅极材料(如:多晶硅),此后续可能导致在鳍部至鳍部的间隙的虚设栅极结构内未填满的孔洞或裂缝。在以下制程中,间隙壁材料可沉积于虚设栅极结构内的孔洞或裂缝内。因此,在栅极替代制程的移除虚设栅极步骤中,于虚设栅极结构中的间隙壁材料可能阻止下方的虚设栅极材料被蚀刻,后续可能于栅极间隙壁间留下虚设栅极结构的残余物(如:多晶硅的残余物)。
因此,在各种实施例中,本揭露提供氢自由基处理,以转换间隙壁层的外部分成为具有不同于间隙壁层的初始材料组成的材料组成,以致于在虚设栅极结构的孔洞外的间隙壁层的经转换的(或经处理的)外部分具有不同于在虚设栅极结构的孔洞内的间隙壁层的未转换的(或未处理的)内部分的蚀刻选择性。如此一来,移除虚设栅极步骤可使用蚀刻剂,其以快于蚀刻间隙壁层的经转换的外部分的蚀刻速率蚀刻间隙壁层的未转换的内部分,以致于间隙壁层的未转换的内部分可在移除虚设栅极步骤期间被移除,此后续助于完全移除虚设栅极材料。
在制造期间,一些实施例考虑n型装置(如n型FinFET)及p型装置(如p型FinFET)的制造。因此,一些实施例考虑互补装置的形成。附图可绘示于制程期间可形成一种装置,但本揭露所属技术领域中具有通常知识者将容易理解多重装置(一些具有不同装置类型的装置)。虽然此些态样可非必要绘示于附图中,但以下讨论形成互补装置的一些态样。
图1至图11C绘示根据本揭露的一些实施例的形成晶体管的中间阶段的透视图及横截面图。根据一些示例性实施例,形成的晶体管包含一或多个p型晶体管(诸如:一或多个p型FinFET)及/或一或多个n型晶体管(诸如:一或多个n型FinFET)。贯穿各种视图及绘示性实施例,相似元件符号用以指定相似元件。可理解的是,就本方法的额外实施例而言,附加的操作可提供于如图1-图11C所示的制程之前、期间及之后,且以下描述的一些操作可被取代或被删除。操作/制程的顺序可互相交换。
图1、图2、图3A、图4A、图5A、图6A及图7A为根据本揭露的一些实施例在制造晶体管的中间阶段的透视图。图3B、图4C、图5C、图6C、图7C、图8B、图9B、图10B及图11B为在制造晶体管的中间阶段沿着第一切线(如:图4A的切线X1-X1)的横截面图,第一切线为在鳍部至鳍部的区域内沿着半导体鳍部的纵向的一切线。图3C、图4D、图5D、图6D、图7D、图8C、图9C、图10C及图11C为在制造晶体管的中间阶段沿着第二切线(如:图4A的切线Y-Y)的横截面图,第二切线为在栅极区域内沿着垂直于半导体鳍部的纵向的方向的一切线。图4B、图5B、图6B、图7B、图8A、图9A、图10A及图11A为在制造晶体管的中间阶段沿着第三切线(如:图4A的切线X2-X2)的横截面图,第三切线为在半导体鳍部内沿着半导体鳍部的纵向的一切线。
图1绘示初始结构的透视图。初始结构包含基材100。基材100可为半导体基材,诸如:块状半导体(bulk semiconductor)、绝缘体上半导体(semiconductor-on-insulator,SOI)基材或其类似物,其可为掺杂的(如:掺杂有p型或n型掺杂剂)或无掺杂的。基材100可为晶片(如:硅晶片)。一般地,SOI基材是形成在绝缘体层上的一层半导体材料。绝缘体层可例如为隐埋氧化物(buried oxide,BOX)层、氧化硅层或其类似物。绝缘体层提供于基板上,基板通常是硅基材或玻璃基材。亦可使用其他基材,诸如:多层基材或梯度基材(gradientsubstrate)。在一些实施例中,基材100的半导体材料可包括硅、锗、化合物半导体(包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟)、合金半导体(包括锗化硅、砷磷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟及/或砷磷化镓铟)或其组合。
图1亦绘示于基材100内形成的多个鳍部102。此些鳍部102为多个半导体条(semiconductor strip)。在一些实施例中,通过在基材100内蚀刻沟槽可形成此些鳍部102。蚀刻可为任何可接受的蚀刻制程,诸如:反应性离子蚀刻(reactive ion etch,RIE)、中性粒子束蚀刻(neutral beam etch,NBE)、其类似制程或其组合。蚀刻可为异向性(anisotropic)蚀刻。
可通过任何适当的方法图案化此些鳍部102。例如:可使用一或多个光学微影制程(photolithography processes)图案化此些鳍部102,光学微影制程包含双重图案化(double-patterning)或多重图案化(multi-patterning)制程。一般地,双重图案化或多重图案化制程结合光学微影及自对准制程(self-aligned processes),以使制得的图案具有小于如其他使用单一、直接的光学微影制程所能得到的节距的节距(pitch)。例如:在一实施例中,形成牺牲层于基材的上方,并使用光学微影制程图案化此牺牲层。使用自对准制程,在经图案化的牺牲层旁边形成间隙壁。然后移除牺牲层,且留下的间隙壁可接着被用于图案化此些鳍部102。在一些实施例中,光罩(或其他层)可留在此些鳍部102上。
一旦此些鳍部102形成后,绝缘材料103形成于基材100上方及相邻的此些鳍部102之间。绝缘材料103可为氧化物,诸如:氧化硅、氮化物、类似物或其组合,且可通过高密度电浆化学气相沉积(high density plasma chemical vapor deposition,HDP-CVD)制程、流动式CVD(flowable CVD,FCVD)、类似制程或其组合形成,前述流动式CVD例如为在远端电浆系统中沉积CVD基底的材料(CVD-based material)并后固化,以使其转化成为另一种材料(如:氧化物)。可使用通过任何可接受的制程所形成的其他绝缘材料。在绘示的实施例中,绝缘材料103为通过FCVD制程所形成的氧化硅。一旦绝缘材料103形成后,可进行退火制程。在一些实施例中,形成绝缘材料103,以致于过多的绝缘材料103覆盖于此些鳍部102上。虽然,绝缘材料103被绘示成单一层,但一些实施例可利用多层。举例而言,在一些实施例中,可先沿着基材100及此些鳍部102的表面形成衬垫(liner)(未绘示)。因此,填充材料(诸如:以上所讨论的材料)可形成于衬垫上方。
一旦绝缘材料103沉积于鳍部102上方后,对绝缘材料103施行移除制程,以移除于鳍部102上方过多的绝缘材料103。在一些实施例中,可利用平坦化制程,诸如:化学机械研磨(CMP)、回蚀刻制程(etch-back process)、其组合或其类似制程。在平坦化制程完成后,平坦化制程暴露出此些鳍部102,以使此些鳍部102的顶表面与绝缘材料103是等高的。在光罩留于此些鳍部102上的一些实施例中,平坦化制程可暴露出光罩或移除光罩,以致于光罩或此些鳍部102的顶表面个别与绝缘材料103在平坦化制程完成后为同水平。
于图2中,凹陷化绝缘材料103,以形成多个浅沟槽隔离(shallow trenchisolation,STI)区域104。凹陷化绝缘材料103,以致于此些鳍部102的上部分从相邻的此些STI区域104间突出。进一步,此些STI区域104的顶表面可具有如绘示的平坦的表面、凸面、凹面(如碟状)或其组合。此些STI区域104的顶表面可通过适合的蚀刻形成平坦的、凸的及/或凹的。可使用可接受的蚀刻制程凹陷化此些STI区域104,例如:选择性对绝缘材料103的蚀刻制程(如:使用快于鳍部102的材料的速率蚀刻绝缘材料103的材料)。举例而言,可使用氧化物的移除,例如:利用稀氢氟酸(dHF)来移除。
参照图1及图2所述的制程仅为如何可形成此些鳍部102的一示例。在一些实施例中,可通过磊晶生长制程(epitaxial growth process)形成此些鳍部102。举例而言,介电层可形成于基材100的顶表面的上方,且穿过介电层可蚀刻沟槽,以曝露下方的基材100。同质磊晶结构(homoepitaxial structure)可磊晶生长于沟槽内,并且介电层可凹陷化,以致于同质磊晶结构从介电层突出而形成此些鳍部102。此外,在一些实施例中,异质磊晶结构(heteroepitaxial structure)可用于此些鳍部102。举例而言,于图1中的鳍部102可凹陷化,并且异于鳍部102的材料可磊晶生长于经凹陷的鳍部102的上方。在此些实施例中,鳍部102包含经凹陷的材料及沉积于此经凹陷的材料上方的磊晶生长的材料。在更进一步的实施例中,介电层可形成于基材100的顶表面的上方,并且穿过介电层可蚀刻沟槽。然后,使用异于基材100的材料可磊晶生长异质磊晶结构于沟槽内,且可凹陷化介电层,以致于异质磊晶结构从介电层突出,以形成鳍部102。在已磊晶生长同质磊晶结构或异质磊晶结构的一些实施例中,于生长期间可原位(in-situ)掺杂磊晶生长材料,虽然原位掺杂及布植掺杂可一起使用,但原位掺杂可免除先前的或后续的布植。
更进一步,在NFET区域中磊晶生长的材料异于在PFET中的材料可为有利的。在各种实施例中,此些鳍部102的上部分可由后述材料所形成:锗化硅(SixGe1-x,其中x可在0至1范围内)、碳化硅、纯的或实质上纯的锗、III-V族化合物半导体、II-VI族化合物半导体或其类似物。举例而言,用以形成III-V族化合物半导体的可取得的材料包含,但不限于,砷化铟、砷化铝、砷化镓、磷化铟、氮化镓、砷化铟镓、砷化铟铝、锑化镓、锑化铝、磷化铝、磷化镓及其类似物。
进一步,于图2中,适当的多个井(well)(未绘示)可形成于多个鳍部102及/或基材100中。在一些实施例中,P井(P well)可形成于NFET区域内,且N井(N well)可形成于PFET区域内。在一些实施例中,P井或N井皆形成于NFET区域内及PFET区域内。
在图3A及图3B中,虚设介电层105形成于多个鳍部102上。举例而言,虚设介电层105可为氧化硅、氮化硅(silicon nitride)、其组合或类似物,且可根据可接受的技术沉积或热生长虚设介电层105。然后,虚设栅极层107形成于虚设介电层105的上方。虚设栅极层107可沉积于虚设介电层105的上方,且再通过如CMP来平坦化。虚设栅极层107可为导电材料或非导电材料,且可选自于一群组,此群组包含非晶硅、多晶硅(polysilicon)、多晶硅-锗(poly-crystalline silicon-germanium,poly-SiGe)、金属氮化物、金属硅化物、金属氧化物及金属。可通过物理气相沉积(PVD)、CVD、溅镀沉积或其他用于沉积经选择的材料的技术沉积虚设栅极层107。虚设栅极层107可由其他材料制得,其他材料具有对蚀刻隔离区域(例如:STI区域104)及/或其他虚设介电层105的高蚀刻选择性。
由于在相邻的多个半导体鳍部102间的鳍部至鳍部的距离W1为小的(例如:从约8nm至约13nm)且从STI区域104延伸的鳍部高度H1为高的(例如:从约50nm至约55nm),所以于相邻的多个半导体鳍部102间的鳍部至鳍部的间隙R1具有高纵横比(aspect ratio)(即,鳍部高度H1与鳍部至鳍部的距离W1的比例),例如,从约50:13至约55:8的范围。如图3B及图3C所绘示,高纵横比可能导致于鳍部至鳍部的间隙R1内的虚设栅极层107内的未被填满的多个孔洞109。
在图4A-图4D中,光罩图案形成于虚设栅极层107的上方,以利于图案化。在一些实施例中,硬式光罩图案(hard mask pattern)包含于虚设栅极层107上方的多个底光罩112及于底光罩112的上方的多个顶光罩114。硬式光罩图案由一或多层的SiO2、SiCN、SiON、Al2O3、SiN或其他适合的材料所制得。在特定的实施例中,底光罩112包含氮化硅,且顶光罩114包含氧化硅。通过使用光罩图案做为蚀刻光罩,虚设栅极层107被图案化成多个分离的虚设栅极电极108,并且虚设介电层105被图案化成多个分离的虚设栅极介电层106。一个虚设栅极电极108及其下方的一个虚设栅极介电层106共同做为延伸横跨多个半导体鳍部102的多个通道区域102C的一个虚设栅极结构110。此些底光罩112及此些顶光罩114的图案可用于物理性分离每一个虚设栅极结构110及其邻近的虚设栅极结构110。此些虚设栅极结构110亦可具有实质上垂直于各自的鳍部102的纵向的纵向。
在图5A-5D中,间隙壁层116形成为于基材100上方的一个毯覆层(blanketlayer)。在一些实施例中,间隙壁层116可具有单一层结构,其中间隙壁层116的整体由相同材料(如:多孔性SiCOH)制得。间隙壁层116可形成为实质地共形层(conformal layer),且因此在半导体鳍部102及虚设栅极结构110的侧壁上的间隙壁层116的垂直部分的厚度T1接近于间隙壁层116的水平部分的厚度T2。举例而言,厚度T1及厚度T2可具有小于约厚度T2的百分比20的差距。
如图5C所绘示,间隙壁材料可沉积至及过填充(overfill)虚设栅极电极108的孔洞109,以致于间隙壁层116具有突出部分116p,其从间隙壁层116的垂直部分116v横向突出。如图5D所绘示,突出部分116p位于鳍部至鳍部的区域。如图5C及图5D所绘示,虚设栅极电极108的一部分在间隙壁层116的突出部分116p的下方。因此,在后续的移除虚设栅极的蚀刻中,若移除虚设栅极蚀刻步骤使用的蚀刻剂会攻击拟栅极电极108的材料但难以攻击间隙壁层116的材料,则于间隙壁层116的突出部分116p下方的虚设栅极的部分可不被移除。
在一些实施例中,间隙壁层116为多孔性SiCOH薄膜,其通过CVD或PECVD技术沉积。SiCOH为低-k值介电材料,其具有低于氧化硅(SiO2)的介电常数的介电常数,氧化硅的介电常数约为3.9-4.0。SiCOH可称为“有机硅玻璃”或“OSG”。有时候,SiOH薄膜亦出现额外的物质,例如F,此些实施例可替换为示例性实施例。在一示例性制程中,多孔性OSG薄膜可于化学气相沉积(CVD)腔室中沉积。如氩(Ar)或氦(He)的惰性气体被提供至气相沉积腔室,其容纳晶圆支架或压盘来固定晶圆。反应性气体被导入至腔室,且做为薄膜元素的来源,举例而言,三甲基硅烷(CH3)3SiH或TMS,并且做为载体气体的CO2可做为反应性气体。用于形成SiCOH薄膜的其他反应性气体为习知的,诸如:有机硅烷(organosilane)及有机硅氧(organosilaxane)。可使用化学气相沉积,或者可使用电浆辅助化学气相沉积(PECVD)。电浆能量用以活化于晶圆基材上的薄层的形成。还包含消耗性造孔剂(sacrificialporogen)。造孔剂为一种有机物质且可如为环烃,其在固化周期(cure cycle)期间分解。用于有机硅玻璃的UV固化的造孔剂为习知的且市售可得的。热固化造孔剂亦为习知的且亦可使用。在一实施例中,使用UV固化的造孔剂。在另一实施例中,可使用热固化造孔剂。UV固化可如热固化般移除于基材上的造孔剂,UV固化亦助于提升移除造孔剂的效率及更好的交联。造孔剂在固化时间的初始分解,并从介电材料中被移除,在SiCOH薄膜中留下孔洞,从而形成多孔性SiCOH薄膜,即前述的间隙壁层116。
当间隙壁层116为多孔性SiCOH薄膜时,以X射线光电子光谱法(XPS)量测间隙壁层116的组成,其包含约27-35原子百分比的硅、约39-50原子百分比的碳及约15-30原子百分比的氧。在此些例子中,在多孔性SiCOH薄膜内的碳原子百分比大于在多孔性SiCOH薄膜内的氧原子百分比及硅原子百分比。注意的是,于XPS量测下,氢经常为不可观察到的,故氢原子百分比于本揭露中被省略。在一些实施例中,多孔性SiCOH薄膜为无氮的(nitrogen-free)。如XPS所量测,多孔性SiCOH薄膜具有零的氮原子浓度。在一些实施例中,多孔性SiCOH薄膜具有从约3.5至约3.7(如:约3.6)的范围的介电常数及从约1.5g/cm3至约1.8g/cm3(如:约1.7g/cm3)的范围的密度。在一些实施例中,多孔性SiCOH薄膜(即前述的间隙壁层116)为富含Si-CH3的薄膜。举例而言,于多孔性SiCOH薄膜(即间隙壁层116)中的硅甲基(silicon methyl,Si-CH3)键结的数目大于在多孔性SiCOH薄膜(即间隙壁层116)中的其他键结(如:Si-O键结、Si-C-Si键结或其他键结)的数目。换句话说,于多孔性SiCOH薄膜(即间隙壁层116)中,Si-CH3键结的数目及Si-C-Si键结的数目的比例大于1:1。富含Si-CH3的组成有利于降低密度且增加在以下蚀刻制程(如:移除虚设栅极的蚀刻)的蚀刻速率。
在图5C中,间隙壁层116具有于虚设栅极结构110的侧壁上的垂直部分116v。在所绘示的实施例中,垂直部分116v具有外侧壁,其在垂直于基材100的主要表面的方向上线性延伸。然而,在一些其他实施例中,由于垂直部分116v的形状可受具有孔洞109的虚设栅极侧壁的光学微影制程的影响,垂直部分116v的外侧壁可具有凹陷区域(如虚线DL1所示)。
在图6A-图6D中,进行致密化处理118(如:通过断裂在SiCOH薄膜中的Si-CH3键结),以转换多孔性间隙壁层116(如:多孔性SiCOH薄膜)的外部分成为经致密化的间隙壁层119,而保留在虚设栅极结构110的孔洞109内的突出部分116p未被转换或未被致密化。因此,在虚设栅极结构110的孔洞109外的经致密化的间隙壁层119具有与虚设栅极结构110的孔洞109内的未致密化的间隙壁部分(即突出部分116p)不同的材料组成,故具有不同的蚀刻选择性。如此一来,以下的移除虚设栅极步骤可使用蚀刻剂,其以快于蚀刻经致密化的间隙壁层119的蚀刻速率蚀刻未致密化的间隙壁部分(即突出部分116p),此后续助于在以下移除虚设栅极步骤中完全移除虚设栅极结构110。
在一些实施例中,致密化处理为氢自由基处理(hydrogen radical treatment)118,其中多孔性间隙壁层116的外表面被曝露于氢自由基中,而多孔性间隙壁层116的内表面(如:在栅极孔洞109内的突出部分116p的表面)未被曝露于氢自由基。氢自由基具有足够的动能,以断裂Si-CH3键结。因此,氢自由基处理118造成在SiCOH薄膜中的Si-CH3键结的数目减少。因此,在氢自由基处理118完成后,在经致密化的SiCOH薄膜(即经致密化的间隙壁层119)内的硅甲基(Si-CH3)的数目少于在经致密化的SiCOH薄膜(即经致密化的间隙壁层119)内的其他键结(如:Si-C-Si键结、Si-O键结或其他键结)。换句话说,在经致密化的SiCOH薄膜(即经致密化的间隙壁层119)中,Si-CH3键结的数目及Si-C-Si键结的数目的比例小于1:1。
再者,Si-CH3键结的断裂亦可造成Si-C-Si键结的生成。因此,氢自由基处理118不但造成Si-CH3键结数目的减少,亦造成Si-C-Si键结数目的增加,此后续导致SiCOH薄膜的密度的增加。结果,氢自由基处理118可转换多孔性SiCOH薄膜(即前述多孔性间隙壁层116)成为经致密化的或“致密(dense)”的SiCOH薄膜(即经致密化的间隙壁层119),其具有大于多孔性SiCOH薄膜(即多孔性间隙壁层116)的密度。举例而言,当对具有从约1.5g/cm3至约1.8g/cm3(如:约1.7g/cm3)的范围的密度的多孔性SiCOH薄膜(即多孔性间隙壁层116)执行氢自由基处理118时,多孔性SiCOH薄膜(即多孔性间隙壁层116)可转换成为具有从约2.2g/cm3至约2.4g/cm3(如:约2.3g/cm3)的范围的密度的经致密化的SiCOH薄膜(即经致密化的间隙壁层119)。在一些实施例中,具有约1.7g/cm3的密度的多孔性SiCOH薄膜被致密化成具有约2.3g/cm3的密度,氢自由基处理118造成密度增加约35.3%的百分比。
再者,Si-CH3键结的数目的减少亦可造成SiCOH薄膜的介电常数的增加。结果,氢自由基处理118可转换低-k值的SiCOH薄膜(即多孔性间隙壁层116)成为“高-k值”的SiCOH薄膜(即经致密化的间隙壁层119),其具有大于低-k值的SiCOH薄膜(即多孔性间隙壁层116)的介电常数。举例而言,当对具有从约3.5至约3.7(如:约3.6)的范围的介电常数的低-k值的SiCOH薄膜(即多孔性间隙壁层116)执行氢自由基处理118时,低-k值的SiCOH薄膜(即多孔性间隙壁层116)可转换成为具有从约4.2至约4.6(如:约4.4)的范围的介电常数的“高-k值的SiCOH薄膜(即经致密化的间隙壁层119)。前述的“约3.5至约3.7的范围”的介电常数小于SiO2的介电常数,且前述的“约4.2至约4.6的范围”的介电常数大于SiO2的介电常数。在一些实施例中,具有约3.6的初始介电常数的低-k值的SiCOH薄膜(即多孔性间隙壁层116)被转换成具有约4.4的最终介电常数,氢自由基处理118造成介电常数增加约22.2%的百分比。
在一些实施例中,氢自由基处理118导致在SiCOH薄膜内的硅原子百分比增加,在SiCOH薄膜内的碳原子百分比减少,在SiCOH薄膜内的氧原子百分比增加。举例而言,在氢自由基处理118完成后,通过XPS测量的经致密化的SiCOH薄膜(即经致密化的间隙壁层119)的组成包含约36-39原子百分比的硅、约10-20原子百分比的碳、约35-45原子百分比的氧。因此,在氢自由基处理118完成后,在经致密化的SiCOH薄膜(即经致密化的间隙壁层119)内的碳原子百分比低于在经致密化的SiCOH薄膜(即经致密化的间隙壁层119)内的氧原子百分比及硅原子百分比。注意的是,于XPS量测下,氢经常为不可观察到的,故氢原子百分比于本揭露中被省略。在一些实施例中,经致密化的SiCOH薄膜(即经致密化的间隙壁层119)为无氮的。如XPS所量测,经致密化的SiCOH薄膜(即经致密化的间隙壁层119)具有零的氮原子浓度。
由于多孔性SiCOH薄膜(即多孔性间隙壁层116)的突出部分116p不曝露于氢自由基中,因此保持未转换,此未转换的多孔性SiCOH的部分116p(即突出部分116p)具有不同于经致密化的SiCOH薄膜(即经致密化的间隙壁层119)的材料组成。举例而言,如XPS所量测,经致密化的SiCOH薄膜(即经致密化的间隙壁层119)的碳原子百分比(如:从约10%至约20%的范围)少于未转换的多孔性SiCOH部分(即突出部分116p)的碳原子百分比(如:从约39%至约50%的范围),经致密化的SiCOH薄膜(即经致密化的间隙壁层119)的硅原子百分比(如:从约36%至约39%的范围)大于未转换的多孔性SiCOH部分(即突出部分116p)的硅原子百分比(如:从约27%至约35%的范围),并且经致密化的SiCOH薄膜(即经致密化的间隙壁层119)的氧原子百分比(如:从约35%至约45%的范围)大于未转换的多孔性SiCOH部分(即突出部分116p)的氧原子百分比(如:从约15%至约30%的范围)。再者,经致密化的SiCOH薄膜(即经致密化的间隙壁层119)的密度(如:从约2.2g/cm3至约2.4g/cm3的范围)大于未转换的多孔性SiCOH部分(即突出部分116p)的密度(如:从约1.5g/cm3至约1.8g/cm3的范围),并且经致密化的SiCOH薄膜(即经致密化的间隙壁层119)的介电常数(如:从约4.2至约4.6的范围)大于未转换的多孔性SiCOH部分(即突出部分116p)的介电常数(如:从约3.5至约3.7的范围)。
在一些实施例中,在从约500℃至约600℃的温度范围下,在低于约1托的压力下,进行氢自由基处理118,历经从约10秒至120秒的范围的持续时间。若氢自由基处理118的制程条件超出以上选择的范围,后续从经致密化的SiCOH薄膜(即经致密化的间隙壁层119)所形成的鳍部侧壁间隙壁(fin sidewall spacer)及栅极侧壁间隙壁(gate sidewallspacer)可能具有不想要的薄膜品质差异。
图6E绘示根据本揭露的一些实施例中用于进行氢自由基处理的示例性制程工具。晶圆(即基材100)放置于制程工具的处理区域212内的支撑夹盘220。制程工具包含入口202,其允许一或多种制程气体流入工具内,如箭号203所示。制程气体可包含前驱气体,诸如:用于产生氢自由基(H˙)的氢气(H2)。制程气体可还包含载体气体,诸如:惰性气体,例如氦(He)或氩(Ar)。
含有氢气的制程气体流入电浆产生区域204,且含有氢气电浆230由在电浆产生区域204内的制程气体产生。由制程气体产生电浆的任何方法可被使用。举例而言,在图6E中,含有氢气电浆230产生于电极206及电极210之间。顶电极206可为感应耦合电浆(inductively coupled plasma,ICP)线圈。于其他实施例中,可使用其他电浆产生方法。
在一些实施例中,制程气体包含氢气(H2),氢气可实质上转换成氢自由基(H˙)。然而,非全部的氢气皆可转换成氢自由基。举例而言,氢离子(诸如:氢阳离子(H+)及氢阴离子(H-))亦可于转换制程中形成。到达处理区域212(如:基材100所在之处)的氢离子可能性会与在基材100上方的多孔性SiCOH薄膜引发不想要的反应。如此,底电极210可包含选择性调节装置(selective modulation device)(即电极210)(如:离子过滤器),其空间上分离电浆产生区域204(如:电浆产生之处)及处理区域212。选择性调节装置(即电极210)可吸引离子,以及阻止离子离开电浆产生区域204与进入处理区域212。透过一或多个排气口214,过多的气体可被泵出制程腔室,如箭号213所示。
在一些实施例中,底电极210的选择性调节装置可包含电性带电光栅(electrically charged grating),其做为带电离子+及-从电浆移动的屏障,而允许不带电电浆R(如:氢自由基)穿过选择性调节装置(即电极210)。在一些实施例中,通过排斥带电电浆离子或者通过吸引带电电浆离子,选择性调节装置(即电极210)可阻止带电电浆离子(如:带正电电荷离子+或带负电电荷离子-)穿过。然而,可利用可分离自由基及电浆的任何适合的装置。在一些实施例中,惰性气体(如:Ar及/或He)穿过入口202,选择性调节装置210(即电极210)允许惰性气体穿过且后来进入处理区域212。
一旦电浆230产生后,选择性调节装置(即电极210)允许自由基组分(即前述的不带电电浆R)穿过选择性调节装置(即电极210)进入处理区域212,其为基材100所在之处。此外。选择性调节装置(即电极210)实质上阻止带正电电荷离子+及带负电电荷离子-移动,避免进入处理区域212。效果上,如之前关于图6A-图6D所讨论,选择性调节装置(即电极210)被用以从电浆230过滤出带正电电荷离子+及带负电电荷离子-,从而仅允许自由基组分(即不带电电浆R)(如:氢自由基)被用于氢自由基处理118。
在图7A-图7D中,经致密化的间隙壁层119被图案化,以形成在虚设栅极结构110的相对两个侧壁上的栅极间隙壁120,以及在半导体鳍部102的相对两个侧壁上的鳍部间隙壁122。经致密化的间隙壁层119可通过使用氟基蚀刻剂(fluorine-based etchant)的干式蚀刻制程被图案化,前述氟基蚀刻剂例如为CF4、C2F6、C3F8、CHF3或CH2F2。图案化具有各向异性(anisotropic)效果,以致于从虚设栅极结构110的顶表面及半导体鳍部102的顶表面移除经致密化的间隙壁层119的水平部分,而保留在虚设栅极结构110的侧壁上的经致密化的间隙壁层119的垂直部分,以形成栅极间隙壁120,并且保留在半导体鳍部102的侧壁上的经致密化的间隙壁层119的垂直部分,以形成鳍部间隙壁122。
控制用以图案化经致密化的间隙壁层119的制程条件,以致于鳍部间隙壁122的顶端低于半导体鳍部102的顶表面。在一些实施例中,如图7A所绘示,经致密化的间隙壁层119的一些水平部分可保留在STI区域104上。此乃由于致密的配置的虚设栅极结构110所导致的遮蔽效应(shadowing effect)。经致密化的间隙壁层119中保留的水平部分可类似于具有两个鳍部间隙壁122的U形横截面U1,也可类似于具有两个栅极间隙壁120的U形横截面U2。在一些其他实施例中,经致密化的间隙壁层119的非水平部分保留在STI区域104上。
在图8A-图8C中,通过使用如异向性蚀刻制程蚀刻横向延伸超过栅极间隙壁120(如:在鳍部102的源极及漏极区域102S/D内)的半导体鳍部102的曝露部分,此导致凹槽(即前述的鳍部至鳍部的间隙R1)进入到半导体鳍部102内且在对应的虚设栅极结构110之间,前述异向性蚀刻制使用虚设栅极结构110及栅极间隙壁120做为蚀刻光罩。在本揭露中,晶体管的源极及漏极可共同做为源极/漏极或S/D。在一些实施例中,可通过具有电浆源及反应气体的干式化学蚀刻进行异向性蚀刻。电浆源可为感应耦合电浆(ICP)源、变压器耦合电浆(TCP)源、电子回旋共振(ECR)源或其类似物,并且,举例而言,反应气体可为氟基气体(如:SF6、CH2F2、CH3F、CHF3或其类似物)、氯基气体(如:Cl2)、溴化氢气体(HBr)、氧气(O2)、类似物或其组合。
接着,源极/漏极磊晶结构124磊晶生长在凹槽(即前述的鳍部至鳍部的间隙R1)内。在磊晶生长制程期间,虚设栅极结构110及栅极间隙壁120局限源极/漏极磊晶结构124于鳍部102的源极/漏极区域102S/D内。适合的磊晶制程包含CVD沉积技术(诸如:气相磊晶(VPE)及/或超高真空CVD(UHV-CVD))、分子束磊晶(molecular beam epitaxy)及/或其他适合的制程。磊晶生长制程可使用气相及/或液相前驱物,其与半导体鳍部102的半导体材料的组成相互作用。在一些实施例中,可通过选择性磊晶生长(SEG)制程沉积磊晶材料,以填充凹槽(即前述的鳍部至鳍部的间隙R1)并更延伸超过半导体鳍部102的初始表面,以形成凸起的源极/漏极磊晶结构124,其具有高于半导体鳍部102的顶表面的顶表面。
在一些实施例中,源极/漏极磊晶结构124可包含Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP或其他适合的材料。在磊晶制程期间,通过导入掺杂物种可原位掺杂源极/漏极磊晶结构124,前述掺杂物种包含p型掺杂剂(如:硼或BF2)、n型掺杂剂(如:磷或砷)及/或包含前述掺杂剂的组合的其他适合的掺杂剂。若源极/漏极磊晶结构124不为原位掺杂的,进行布植制程(即,接面布植制程(junction implant process)),以掺杂源极/漏极磊晶结构124。在一些示例性实施例中,在NFET装置中的源极/漏极磊晶结构124包含SiP,而在PFET装置中的源极/漏极磊晶结构124包含GeSnB及/或SiGeSnB。
一旦源极/漏极磊晶结构124形成后,可进行退火制程,以活化在源极/漏极磊晶结构124中的p型掺杂剂或n型掺杂剂。举例而言,退火制程可为快速热退火(rapid thermalanneal,RTA)、激光退火(laser anneal)、毫秒热退火(millisecond thermal annealing,MSA)制程或其类似的制程。
在图9A-图9C中,层间介电层(ILD layer)126形成在基材100上方。在一些实施例中,在形成层间介电层126前,选择性地形成接触蚀刻停止层(contact etch stop layer,CESL)。在一些示例中,CESL包含氮化硅层、氧化硅层、氧氮化硅(silicon oxynitride)层及/或其他适合的层,其具有不同于ILD层126的蚀刻选择性。可通过电浆辅助化学气相沉积(PECVD)制程及/或其他适合的沉积制程或氧化制程形成CESL。在一些实施例中,层间介电层126包含后述的材料,此材料例如为四乙氧基硅烷(TEOS)氧化物、未经掺杂的硅玻璃或经掺杂的氧化硅。例如:硼磷硅玻璃(borophosphosilicate glass,BPSG)、熔融硅玻璃(fusedsilica glass,FSG)、磷硅玻璃(phosphosilicate glass,PSG)、硼掺杂硅玻璃(borondoped silicon glass,BSG)及/或其他适合的介电材料,其他适合的介电材料具有不同于CESL的蚀刻选择性。可通过PECVD制程或其他适合的沉积技术沉积ILD层126。在一些实施例中,在形成ILD层126后,基材100可被执行高热预算制程(high thermal budget process),以退火ILD层126。
在一些实施例中,在ILD层126形成后,进行平坦化制程,以移除ILD层126的过多的材料。举例而言,平坦化制程包含化学机械研磨(CMP)制程,其移除虚设栅极结构110上的ILD层126(及CESL层,若存在的话)的部分。在一些实施例中,CMP制程亦移除光罩112及114(如图8A-图8C所示)且曝露出虚设栅极电极108。
接着,在图10A-图10C中,通过使用选择性蚀刻制程(诸如:选择性干式蚀刻、选择性湿式蚀刻或其组合)移除虚设栅极结构110,其以快于蚀刻其他材料(诸如:经致密化的栅极间隙壁120及/或ILD层126)的蚀刻速率蚀刻虚设栅极结构110的材料,因此制造出在对应的栅极间隙壁120间的栅极沟槽(gate trenche)GT。在一些实施例中,选择性蚀刻制程亦以快于蚀刻经致密化的栅极间隙壁120的蚀刻速率蚀刻多孔性间隙壁突出部分116p(如图9B及图9C所示)。结果,在选择性蚀刻制程中可移除多孔性间隙壁突出部分116p,而完全不蚀刻经致密化的栅极间隙壁120,以阻止源极/漏极磊晶结构124曝露出。
在一些实施例中,多孔性间隙壁突出部分116p为多孔性SiCOH且经致密化的栅极间隙壁120为经致密化的SiCOH,在移除虚设栅极步骤中,多孔性SiCOH及经致密化的SiCOH的蚀刻速率的比例大于约3:1或更大于10:1。举例而言,移除虚设栅极步骤包含第一干式蚀刻制程,接着第二干式蚀刻制程,其中第一干式蚀刻制程为使用由氧气(O2)产生的电浆蚀刻剂的电浆蚀刻,并且第二干式蚀刻制程为使用由NF气体与NH3气体混合的气体所产生的电浆蚀刻剂的电浆蚀刻。在一些实施例中,第一干式蚀刻称做DPO蚀刻制程,并且第二干式蚀刻称做IORM蚀刻制程。当移除虚设栅极步骤使用DPO蚀刻制程与IORM蚀刻制程的组合时,在给定的持续时间下,多孔性SiCOH的蚀刻量在从约60埃至约70埃(如:约63埃)的范围内,并且在给定的持续时间下,经致密化的SiCOH的蚀刻量在从约15埃至约25埃(如:约19埃)的范围内。在一些实施例中,多孔性SiCOH的蚀刻量为约63埃,并且经致密化的SiCOH的蚀刻量为约19埃,多孔性SiCOH的蚀刻量及经致密化的SiCOH的蚀刻量的比例大于约3:1。
在一些其他实施例中,移除虚设栅极步骤包含第一湿式蚀刻制程,接着第二湿式蚀刻制程,其中第一湿式蚀刻制程使用过氧化硫混合物(sulfuric peroxide mixture,HTSPM)溶液做为湿式蚀刻剂,并且第二湿式蚀刻制程使用稀的氢氟酸(dHF)溶液做为湿式蚀刻剂。当移除虚设栅极步骤使用HTSPM蚀刻及dHF蚀刻的组合时,多孔性SiCOH的蚀刻量在从约170埃至约180埃(如:约175埃)的范围内,并且在给定的持续时间下,经致密化的SiCOH的蚀刻量在从约15埃至约20埃(如:约17埃)的范围内。在一些实施例中,多孔性SiCOH的蚀刻量为约175埃并且经致密化的SiCOH的蚀刻量为约17埃,多孔性SiCOH的蚀刻量及经致密化的SiCOH的蚀刻量的比例大于约10:1。
由于在多孔性间隙壁突出部分116p(如:多孔性SiCOH)与经致密化的栅极间隙壁120(如:经致密化的SiCOH)间的高蚀刻选择性,所以多孔性间隙壁突出部分116p可从栅极沟槽GT被移除,且经致密化的栅极间隙壁120完全保持不被蚀刻。再者,由于多孔性间隙壁突出部分116p被移除,所以在多孔性间隙壁突出部分116p下方的虚设栅极结构110的底部分可被移除,此后续可避免于移除虚设栅极步骤完成后,虚设栅极残留物(如:多晶硅残留物)留在栅极沟槽GT内。
在图11A-图11C中,替代栅极结构128形成在栅极沟槽GT内。栅极结构128可为FinFET的最终的栅极。最终的栅极结构128的每一者可为高-k值/金属栅极堆叠,然而其他组合是可能的。在一些实施例中,每一个栅极结构128形成与通道区域102C(由鳍部102所提供)的三侧相关的栅极。换句话说,每一个栅极结构128于三侧环绕鳍部102。在各种实施例中,高k-值/金属栅极结构128包含衬里于栅极沟槽的栅极介电层130、形成在栅极介电层130上方的功函数金属层132,以及于功函数金属层132上方形成的并填充栅极沟槽的其余部分的填充金属134。栅极介电层130包含界面层(如:氧化硅层)及在界面层上方的高k-值栅极介电层。如本文所使用及所描述,高k-值栅极介电质包含具有高介电常数的介电材料,例如:大于热氧化硅的介电常数(约3.9-4.0)。用于高k-值/金属栅极结构128内的功函数金属层132及/或填充金属134可包含金属、金属合金或金属硅化物。k-值/金属栅极结构128的形成可包含形成各种栅极材料的多重沉积制程,接续一或多个CMP制程,以移除过多的栅极材料。
在一些实施例中,栅极介电层130的界面层可包含介电材料,例如氧化硅(SiO2)、HfSiO或氧氮化硅(SiON)。可通过化学氧化、热氧化、原子层沉积(atomic layerdeposition,ALD)、化学气相沉积(CVD)及/或其他适合的方法形成界面层。栅极介电层130的高k-值介电层可包含氧化铪(HfO2)。替代地,栅极介电层130可包含其他高k-值介电质,诸如:铪硅氧化物(hafnium silicon oxide,HfSiO)、铪钽氧氮化物(hafnium siliconoxynitride,HfSiON)、铪钽氧化物(hafnium tantalum oxide,HfTaO)、铪钛氧化物(hafnium titanium oxide,HfTiO)、铪锆氧化物(hafnium zirconium oxide,HfZrO)、氧化镧(lanthanum oxide,La2O3)、氧化锆(zirconium oxide,ZrO2)、氧化钛(titanium oxide,TiO2)、氧化钽(tantalum oxide,Ta2O5)、氧化钇(yttrium oxide,Y2O3)、锶钛氧化物(strontium titanium oxide,SrTiO3,STO)、钡钛氧化物(barium titanium oxide,BaTiO3,BTO)、钡锆氧化物(barium zirconium oxide,BaZrO)、铪镧氧化物(hafnium lanthanumoxide,HfLaO)、镧硅氧化物(lanthanum silicon oxide,LaSiO)、铝硅氧化物(aluminumsilicon oxide,AlSiO)、氧化铝(aluminum oxide,Al2O3)、氮化硅(silicon nitride,Si3N4)、硅氧氮化物(oxynitride,SiON)及其组合。
功函数金属层132可包含功函数金属,以对高k-值/金属栅极结构128提供适合的功函数。就n型FinFET而言,功函数金属层132可包含一或多个n型功函数金属(N-金属,N-metal)。n型功函数金属可示例性包含,但不限于,铝化钛(titanium aluminide,TiAl)、钛铝氮化物(titanium aluminium nitride,TiAlN)、氮碳化钽(carbo-nitride tantalum,TaCN)、铪(Hf)、锆(Zr)、钛(Ti)、钽(Ta)、铝(Al)、金属碳化物(metal carbide)、铝化物(aluminide)及/或其他适合的材料。前述金属碳化物例如为碳化铪(hafnium carbide,HfC)、碳化锆(zirconiumcarbide,ZrC)、碳化钛(titanium carbide,TiC)及碳化铝(aluminum carbide,AlC)。另一方面,就p型FinFET而言,功函数金属层132可包含一或多个p型功函数金属(P-金属,N-metal)。p型功函数金属可示例性包含,但不限于,氮化钛(titanium nitride,TiN)、氮化钨(tungsten nitride,WN)、钨(W)、钌(Ru)、钯(Pd)、铂(Pt)、钴(Co)、镍(Ni)、导电性金属氧化物及/或其他适合的材料。
在一些实施例中,填充金属134可示例性包含,但不限于,钨、铝、铜、镍、钴、钛、钽、氮化钛(titanium nitride)、氮化钽(tantalum nitride)、硅化镍(nickel silicide)、硅化钴(cobalt silicide)、TaC、TaSiN、TaCN、TiAl、TiAlN或其他适合的材料。
图12是另一种晶体管的横截面图,其有相同于前述晶体管的特征。此晶体管与前述晶体管的差异至少在于,栅极间隙壁120’具有在其最外侧壁上的凹陷区域120r。如图5C所绘示,此由于栅极间隙壁120’承袭多孔间隙壁层116的垂直部分116v的形状,其受到具有孔洞109的栅极侧壁的光学微影的影响,如图5C所绘示。在一些实施例中,凹陷区域120r通过栅极间隙壁120’的最外侧壁的凹的表面120c所定义。在一些实施例中,凹陷区域120r处在低于半导体鳍部102的顶端FT(场效晶体管)的位置。如图5D所绘示,此乃由于孔洞109形成在鳍部至鳍部的区域内,且在半导体鳍部102的顶端之下。在一些实施例中,由于栅极间隙壁120具有线型最外侧壁而非凹陷区域,在栅极结构128的第一侧(如:图12的左边)上的栅极间隙壁120非对称于在栅极结构128的第二侧(如:图12的右边)上的栅极间隙壁120’。
基于以上讨论,可以看出的是,在各种实施例中,本揭露提供优点。然而,可理解的是,其他实施例可提供额外的优点,并非所有的优点必须被本文所揭露,且对于所有的实施例而言,没有特定的优点被要求。一个优点在于,移除虚设栅极步骤没有留下或留下可忽略的虚设栅极残留物在栅极沟槽内,甚至间隙壁材料被填充于在虚设栅极结构内的孔隙中。由于栅极侧壁间隙壁于移除虚设栅极步骤之前已被致密化,另一优点在于移除虚设栅极步骤可移除在虚设栅极结构内的孔隙中的间隙壁材料,而在栅极侧壁间隙壁造成没有或可忽略的蚀刻量。
在一些实施例中,栅极间隙壁的形成方法包含形成从基材延伸的多个半导体鳍部;形成延伸横跨此些半导体鳍部的虚设栅极结构,虚设栅极结构具有于此些半导体鳍部间的孔洞;沉积间隙壁层于虚设栅极结构的上方,间隙壁层具有于虚设栅极结构的孔洞内的第一部分及于虚设栅极结构的孔洞外的第二部分;处理间隙壁层,以致于间隙壁层的第二部分具有不同于间隙壁层的第一部分的材料组成;蚀刻间隙壁层的第二部分,以形成多个间隙壁于虚设栅极结构的侧壁上;对虚设栅极结构进行蚀刻制程,以形成栅极沟槽于此些栅极间隙壁之间,其中蚀刻制程以快于蚀刻此些栅极间隙壁的蚀刻速率蚀刻此些间隙壁层的第一部分;以及形成栅极结构于栅极沟槽内。在一些实施例中,以氢自由基处理间隙壁层。在一些实施例中,进行间隙壁层的处理操作,以致于间隙壁层的第二部分具有高于间隙壁层的第一部分的密度。在一些实施例中,进行间隙壁层的处理操作,以致于间隙壁层的第二部分具有大于间隙壁层的第一部分的介电常数。在一些实施例中,进行间隙壁层的处理操作,以致于间隙壁层的第二部分的介电常数从初始数值增加至最终数值,初始数值低于氧化硅的介电常数,且最终数值高于氧化硅的介电常数。在一些实施例中,在间隙壁层的第二部分的介电常数已增加至高于氧化硅的介电常数的最终数值后,间隙壁层的第一部分的介电常数低于氧化硅的介电常数。在一些实施例中,在间隙壁层的第二部分的介电常数已增加至高于氧化硅的介电常数的最终数值后,间隙壁层的第一部分的介电常数低于氧化硅的介电常数。在一些实施例中,进行间隙壁层的处理操作,以致于间隙壁层的第二部分具有小于间隙壁层的第一部分的碳原子百分比。在一些实施例中,进行间隙壁层的处理操作,以致于间隙壁层的第二部分具有高于间隙壁层的第一部分的氧原子百分比。在一些实施例中,进行间隙壁层的处理操作,以致于间隙壁层的第二部分具有高于间隙壁层的第一部分的硅原子百分比。在一些实施例中,在进行间隙壁层的处理操作后,间隙壁层的第一部分及第二部分皆为无氮的(nitrogen-free)。
在一些实施例中,栅极间隙壁的形成方法包含形成多个半导体鳍部于基材上方;沉积多晶硅层于此些半导体鳍部上方,多晶硅层具有孔洞;图案化多晶硅层成多晶硅结构,以致于在多晶硅结构的侧壁暴露出孔洞;沉积间隙壁层于多晶硅结构上方,间隙壁层具有在孔洞外的外部及从外部延伸到孔洞内的内部;进行自由基处理,以致密化间隙壁层的外部,而保留间隙壁层的内部未致密化;图案化间隙壁层的经致密化的外部成为于多晶硅结构的相对的两个侧壁上的多个栅极间隙壁;对多晶硅结构进行蚀刻制程,其中在蚀刻制程中,间隙壁层的未经致密化的内部具有大于此些栅极间隙壁的蚀刻量的蚀刻量;以及在蚀刻制程完成后,形成栅极结构于此些栅极间隙壁之间。在一些实施例中,自由基处理使用氢自由基。在一些实施例中,间隙壁层的未经致密化的内部的蚀刻量及此些栅极间隙壁的蚀刻量的比例是大于约3:1。在一些实施例中,自由基处理造成间隙壁层的外部的介电常数增加。在一些实施例中,自由基处理造成间隙壁层的外部的氧原子百分比增加。在一些实施例中,自由基处理造成间隙壁层的外部的碳原子百分比增加。在一些实施例中,自由基处理造成间隙壁层的外部的硅原子百分比增加。
在一些实施例中,半导体装置包含半导体鳍部、栅极结构、源极磊晶结构、漏极磊晶结构、第一栅极间隙壁,以及第二栅极间隙壁。栅极结构延伸横跨半导体鳍部。源极磊晶结构及漏极磊晶结构分别在栅极结构相对的两个侧边上。第一栅极间隙壁分离源极磊晶结构及栅极结构。第二栅极间隙壁分离漏极磊晶结构及栅极结构。第一栅极间隙壁及第二栅极间隙壁由有机硅玻璃材料制得,有机硅玻璃材料具有高于氧化硅的介电常数的介电常数。在一些实施例中,第一栅极间隙壁及第二栅极间隙壁的一者的最外侧壁在低于半导体鳍部的顶端的位置具有凹陷区域。在一些实施例中,有机硅玻璃材料的硅原子百分比及氧原子百分比皆大于有机硅玻璃材料的碳原子百分比。
前述内容概述数个实施例的特征,以致于本揭露所属技术领域中具有通常知识者可更好地理解本揭露的态样。本揭露所属技术领域中具有通常知识者应了解的是,他们可容易地以本揭露做为设计或修改而用于实施本文所介绍的实施例的相同目的及/或达成相同优点的其他制程及结构的基础。本揭露所属技术领域中具有通常知识者亦应认知的是,此类等效构造不脱离本揭露的精神及范畴,且他们可在不脱离本揭露的精神及范畴的情况下对本文作出各种改变、替换及变更。

Claims (10)

1.一种栅极间隙壁的形成方法,其特征在于,包含:
形成多个半导体鳍部,其中该些半导体鳍部从一基材延伸;
形成一虚设栅极结构,其中该虚设栅极结构延伸横跨该些半导体鳍部,且该虚设栅极结构具有于该些半导体鳍部间的一孔洞;
沉积一间隙壁层于该虚设栅极结构的上方,该间隙壁层具有于该虚设栅极结构的该孔洞内的一第一部分及于该虚设栅极结构的该孔洞外的一第二部分;
处理该间隙壁层,以使该间隙壁层的该第二部分具有不同于该间隙壁层的该第一部分的一材料组成;
蚀刻该间隙壁层的该第二部分,以形成多个栅极间隙壁于该虚设栅极结构的一侧壁上;
对该虚设栅极结构进行一蚀刻制程,以形成一栅极沟槽于该些栅极间隙壁之间,其中该蚀刻制程以快于蚀刻该些栅极间隙壁的一蚀刻速率蚀刻该些间隙壁层的该第一部分;以及
形成一栅极结构于该栅极沟槽内。
2.如权利要求1所述的栅极间隙壁的形成方法,其特征在于,处理该间隙壁层是被进行,以使该间隙壁层的该第二部分相较于该间隙壁层的该第一部分具有一较大介电常数。
3.如权利要求1所述的栅极间隙壁的形成方法,其特征在于,处理该间隙壁层是被进行,以使该间隙壁层的该第二部分相较于该间隙壁层的该第一部分具有一较小碳原子百分比。
4.如权利要求1所述的栅极间隙壁的形成方法,其特征在于,处理该间隙壁层是被进行,以使该间隙壁层的该第二部分相较于该间隙壁层的该第一部分具有较高一氧原子百分比。
5.一种栅极间隙壁的形成方法,其特征在于,包含:
形成多个半导体鳍部于一基材的上方;
沉积一多晶硅层于该些半导体鳍部的上方,其中该多晶硅层具有一孔洞;
图案化该多晶硅层为一多晶硅结构,以使该孔洞在该多晶硅结构的一侧壁是暴露的;
沉积一间隙壁层于该多晶硅结构上方,其中该间隙壁层具有在该孔洞外的一外部及从该外部延伸到该孔洞内的一内部;
进行一自由基处理,以致密化该间隙壁层的该外部,而保留该间隙壁层的该内部未致密化;
图案化该间隙壁层的经致密化的该外部为多个栅极间隙壁,其中该些栅极间隙壁是于该多晶硅结构的相对的两侧壁上;
对该多晶硅结构进行一蚀刻制程,其中在该蚀刻制程中,该间隙壁层的未致密化的该内部具有大于该些栅极间隙壁的一蚀刻量的一蚀刻量;以及
在该蚀刻制程完成后,形成一栅极结构于该些栅极间隙壁之间。
6.如权利要求5所述的栅极间隙壁的形成方法,其特征在于,该自由基处理造成该间隙壁层的该外部的一介电常数增加。
7.如权利要求5所述的栅极间隙壁的形成方法,其特征在于,该自由基处理造成该间隙壁层的该外部的一氧原子百分比增加。
8.如权利要求5所述的栅极间隙壁的形成方法,其特征在于,该自由基处理造成该间隙壁层的该外部的一碳原子百分比增加。
9.如权利要求5所述的栅极间隙壁的形成方法,其特征在于,该自由基处理造成该间隙壁层的该外部的一硅原子百分比增加。
10.一种半导体装置,其特征在于,包含:
一半导体鳍部,于一基材上方;
一栅极结构,延伸横跨该半导体鳍部;
一源极磊晶结构及一漏极磊晶结构,分别在该栅极结构相对的两侧上;
一第一栅极间隙壁,分离该源极磊晶结构及该栅极结构;以及
一第二栅极间隙壁,分离该漏极磊晶结构及该栅极结构,其中该第一栅极间隙壁及该第二栅极间隙壁由有机硅玻璃材料制得,相较于氧化硅的一介电常数,该有机硅玻璃材料具有较高的一介电常数。
CN202210060076.8A 2021-04-15 2022-01-19 栅极间隙壁、其形成方法及半导体装置 Pending CN114914198A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163175441P 2021-04-15 2021-04-15
US63/175,441 2021-04-15
US17/369,693 2021-07-07
US17/369,693 US20220336626A1 (en) 2021-04-15 2021-07-07 Densified gate spacers and formation thereof

Publications (1)

Publication Number Publication Date
CN114914198A true CN114914198A (zh) 2022-08-16

Family

ID=82762560

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210060076.8A Pending CN114914198A (zh) 2021-04-15 2022-01-19 栅极间隙壁、其形成方法及半导体装置

Country Status (3)

Country Link
US (1) US20220336626A1 (zh)
CN (1) CN114914198A (zh)
TW (1) TW202243018A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114446883A (zh) * 2017-09-22 2022-05-06 蓝枪半导体有限责任公司 半导体元件及其制作方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11205709B2 (en) * 2018-06-25 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Defect filling in patterned layer
US11482456B2 (en) * 2019-03-21 2022-10-25 Globalfoundries U.S. Inc. Forming two portion spacer after metal gate and contact formation, and related IC structure
US11024536B2 (en) * 2019-04-18 2021-06-01 International Business Machines Corporation Contact interlayer dielectric replacement with improved SAC cap retention

Also Published As

Publication number Publication date
TW202243018A (zh) 2022-11-01
US20220336626A1 (en) 2022-10-20

Similar Documents

Publication Publication Date Title
US10930794B2 (en) Self-aligned spacers for multi-gate devices and method of fabrication thereof
CN110416081B (zh) Nfet/pfet的源极/漏极区域的选择性凹进
US10811262B2 (en) Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US11616062B2 (en) Gate isolation for multigate device
CN108807380A (zh) 半导体结构和形成集成电路结构的方法
US10643902B2 (en) Semiconductor device and method for atomic layer deposition of a dielectric over a substrate
US20220130730A1 (en) Semiconductor Device and Method
CN110970506B (zh) 半导体装置
US20230260849A1 (en) Self-Aligned Metal Gate for Multigate Device
US11101366B2 (en) Remote plasma oxide layer
JP2022023829A (ja) 半導体デバイスの製造方法及び半導体デバイス
US11848240B2 (en) Method of manufacturing a semiconductor device
CN110504169B (zh) 非共形氧化物衬垫及其制造方法
TW202034378A (zh) 積體電路裝置及其形成方法
CN111261524A (zh) 半导体装置的形成方法
CN111106000A (zh) 形成半导体器件的方法
US10867860B2 (en) Methods of forming FinFET device
US20230260998A1 (en) Gate isolation for multigate device
CN114914198A (zh) 栅极间隙壁、其形成方法及半导体装置
US11637042B2 (en) Self-aligned metal gate for multigate device
CN113745223A (zh) 半导体器件及其形成方法
CN113488434A (zh) 使用相同功函数材料的复合功函数层的形成
CN113224006A (zh) 金属栅极调制器及其原位形成方法
US11777017B2 (en) Negative-capacitance and ferroelectric field-effect transistor (NCFET and FE-FET) devices
US20230034854A1 (en) Semiconductor structure and method for forming the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication