CN114736612B - 抛光组合物及其使用方法 - Google Patents

抛光组合物及其使用方法 Download PDF

Info

Publication number
CN114736612B
CN114736612B CN202210415098.1A CN202210415098A CN114736612B CN 114736612 B CN114736612 B CN 114736612B CN 202210415098 A CN202210415098 A CN 202210415098A CN 114736612 B CN114736612 B CN 114736612B
Authority
CN
China
Prior art keywords
polishing composition
acid
group
removal rate
polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202210415098.1A
Other languages
English (en)
Other versions
CN114736612A (zh
Inventor
C·巴列斯特罗斯
A·米什拉
E·特纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujifilm Electronic Materials USA Inc
Original Assignee
Fujifilm Electronic Materials USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Electronic Materials USA Inc filed Critical Fujifilm Electronic Materials USA Inc
Priority to CN202210415098.1A priority Critical patent/CN114736612B/zh
Publication of CN114736612A publication Critical patent/CN114736612A/zh
Application granted granted Critical
Publication of CN114736612B publication Critical patent/CN114736612B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本发明公开了一种抛光组合物,该组合物包括:至少一种磨料;至少一种氮化物去除率降低剂,包含:含有C12至C40烃基团的疏水部分;含有至少一种基团的亲水部分,所述至少一种基团选自于由亚磺酸基、硫酸基、羧基、磷酸基团和膦酸基团组成的组;和其中,所述疏水部分和所述亲水部分被0个环氧烷基团分开;酸的混合物,所述酸的混合物包含氨基酸;以及水;其中,所述抛光组合物不含盐和阴离子聚合物,并且所述抛光组合物具有2至6.5的pH。

Description

抛光组合物及其使用方法
分案说明
本申请是申请日为2019年8月30日、申请号为201910812800.6、发明名称为“抛光组合物及其使用方法”的中国发明专利申请的分案申请。
技术领域
本公开涉及抛光组合物及其使用方法
背景技术
半导体工业由经由流程和集成创新进一步小型化器件而持续地被驱动以改善芯片性能。化学机械抛光/平坦化(CMP)是强大的技术,因为它使晶体管级的许多复杂的集成方案成为可能的,从而促进芯片密度增加。
晶体管一般而言在前端线(Front End of Line,FEOL)晶体管制造步骤中被制造。FEOL材料堆叠体典型地包括金属栅极和多个电介质材料的堆叠体。在各个集成电路中的数十亿个有源组件的电隔离是FEOL中的目标,并且可使用浅沟槽隔离(STI)流程而实现。为了示范目的,STI流程的一部分被显示在图1。如从图1可见的,在STI CMP流程之前,热氧化硅和SiN可被沉积在硅的顶部(例如,硅晶圆)(图1(a)),并且接着被蚀刻出以产生沟槽/隔离和“有源”非沟槽区域(以形成含有晶体管区域)(图1(b))。之后,这些沟槽/隔离区域可通过在沟槽中沉积(例如,通过使用等离子体增强化学气相沉积(PECVD))氧化硅(例如,TEOS)而被填充,从而所述有源非沟槽区域可被沟槽中的氧化硅隔离(图1(c))。之后,在有源非沟槽区域上的“超负荷/额外的”氧化硅可任选地被移除同时保持沟槽中的氧化硅(图1(d))。氧化硅的选择性移除由浅沟槽隔离(STI)化学机械抛光/平坦化(CMP)流程而实现,其中具有氧化硅与氮化硅(例如,SiN)的高材料移除率(MRR)选择性的CMP抛光组合物(诸如在本公开所描述的)被使用以高速率移除氧化硅,优选地没有大幅度移除氮化硅(停止层)。在上面的STI CMP步骤之后,蚀刻可用于暴露硅以完成隔离并且防止在有源非沟槽区域中形成的相邻晶体管变成彼此接触,从而防止电路的短路。
普遍地用于STI的电介质薄膜是氮化硅(例如,SiN)、氧化硅(例如,TEOS:原硅酸四乙酯)、多晶硅(P-Si)、碳氮化硅(例如,SiCN),以及低-k/超-低k电介质薄膜(例如,SiCOH)。随着在45nm的高-k金属栅极技术和在22nm芯片生产的FinFET技术的引入,SiN、TEOS、SiCN和P-Si薄膜开始更常被使用并且用于FEOL的更多应用中。此外,在后段制程(BEOL)中,因为惯常的阻隔材料(例如,Ta/TaN或Ti/TiN)的电阻率已被显示不能有效地缩小用于先进的10nm下制造节点,这些阻隔材料可由电介质(诸如SiN、TEOS、SiCN和P-Si)代替用于各种不同的BEOL材料堆叠体。因此,对于FEOL和BEOL这两者,这些电介质薄膜可被使用作为蚀刻停止层、覆盖材料、间隔材料、附加衬垫、扩散/钝化阻隔、硬掩模和/或停止层。
一般而言,电介质薄膜在先进的半导体制造中被更慷慨地使用。从CMP观点,结合电介质的这些集成的大部分需要可在这些薄膜上工作/抛光和/或停止的抛光组合物(浆料),诸如可移除SiN但不移除(停止)TEOS/P-Si的浆料或者可移除TEOS/p-Si但不移除(停止)SiN的浆料。
发明内容
本公开涉及稳定的含水浆料,其可任选地抛光各种不同的材料(例如,氧化物(诸如氧化硅)),同时在氮化硅以及相关的硅和氮基薄膜(像SiCN(硅碳氮化硅))上达到非常低的抛光/去除率。例如,所述抛光组合物可以相对高的材料去除率(MRR)抛光氧化硅(例如,SiO2)并且停止在氮化硅(例如,SiN)或相关薄膜上或以非常低的速率抛光氮化硅(例如,SiN)或相关薄膜。例如,可由本文所描述的抛光组合物移除的氧化硅包括选自于下列的氧化硅:TEOS、热氧化物(TOX)(例如,由裸硅的高压釜诱导的氧化所引起)、由等离子体增强PVD沉积(例如,高密度等离子体或高纵横比等离子体)所形成的氧化硅、由CVD沉积与后等离子体表面固化所形成的氧化硅、碳掺杂的氧化硅(SiOC),以及由氧化物前体的液体施加继而光或热诱导的固化所形成的氧化硅。在一些例子中,在高MRR下要被移除的标靶薄膜可以是金属或金属氧化物或金属氮化物而不是氧化硅电介质。金属、金属氧化物和金属氮化物的常见实例包括对于金属的铜、钴、钌、铝、钛、钨和钽,对于金属氧化物的氧化铪、氧化钛、氧化铝、氧化锆和氧化钽,以及钌、铝、钛、钨和钽的氮化物。在这种情况下,停止/低去除率薄膜可仍然是氮化硅薄膜并且因此可利用来自本公开的含有氮化物去除率降低剂的抛光组合物以实现所需的选择性。
更特别地,本公开涉及包括磨料、氮化物去除率降低剂、酸或碱、水以及任选地凹陷降低剂(dishing reducing agent)(例如,阴离子凹陷剂)的抛光组合物。本文所描述的抛光组合物的pH可在2至6.5的范围或,更特别地,在2至4.5的范围。本公开的组合物可被稀释(例如,在使用点)以形成抛光组合物而在性能上没有任何恶化。本公开还讨论用于使用上述的抛光组合物抛光半导体基材的方法。
在一个方面,本文公开的具体实施方式涉及一种抛光组合物,其包括至少一种磨料、至少一种氮化物去除率降低剂、酸或碱,以及水。所述氮化物去除率降低剂包括含有C12至C40烃基团的疏水部分以及含有至少一种选自于由亚磺酸基(sulfinite group)、硫酸基、磺酸基、羧基、磷酸基团和膦酸基团组成的组的基团的亲水部分;其中所述疏水部分和所述亲水部分被0至10个环氧烷基团分开。所述抛光组合物具有约2至约6.5的pH。
在另一个方面,本文公开的具体实施方式涉及一种包括下列的抛光组合物:至少一种磨料;至少一种包括疏水部分和亲水部分的氮化物去除率降低剂;酸或碱;以及水;其中所述抛光组合物具有约2至约6.5的pH;并且在抛光包括至少氮化硅图案的图案化晶圆期间,所述抛光组合物具有至少约3:1的氧化硅去除率比氮化硅去除率的比率,其中所述氮化硅图案覆盖有至少氧化硅(以及任选地其他材料,诸如金属或电介质)。
在又另一个方面,本文公开的具体实施方式涉及一种包括下列的抛光组合物:至少一种磨料;至少一种包括疏水部分和亲水部分的氮化物去除率降低剂;酸或碱;以及水;其中所述抛光组合物具有约2至约6.5的pH;其中当用所述抛光组合物抛光包括覆盖有至少氧化硅的至少氮化硅图案的图案化晶圆时,小于约1000埃的氧化硅凹陷发生,其中所述抛光暴露所述图案化晶圆上的所述氮化硅图案。
在又另一个方面,本文公开的具体实施方式涉及一种包括下列的抛光组合物:至少一种磨料;至少一种包括疏水部分和亲水部分的氮化物去除率降低剂;酸或碱;以及水;其中所述抛光组合物具有约2至约6.5的pH;其中当用所述抛光组合物抛光包括覆盖有至少氧化硅的至少氮化硅图案的图案化晶圆时,小于约500埃的氮化硅腐蚀发生,其中所述抛光暴露所述图案化晶圆上的所述氮化硅图案。
在又另一个方面,本文公开的具体实施方式涉及一种方法,其包括:施加本文所描述的抛光组合物至基材,所述基材在所述基材的表面上具有至少氮化硅和至少氧化硅;以及使衬垫与所述基材的所述表面接触并且使所述衬垫相对于所述基材移动。
相同的组合物中的磨料、氮化物RR降低剂和任选的凹陷降低剂的协同使用提供在现今可获得的浆料中未被发现的独特优点。其中,这些效益包括下列:
1.本文所描述的组合物可达到非常低的氮化硅(例如,SiN)去除率。优异的氮化硅保护可经由氮化硅去除率降低剂的明智选择和配制/装载而实现。再者,如在本公开所证明的,在空白晶圆(即,含有仅氮化硅薄膜的晶圆)和图案化晶圆(即,含有在图案中被蚀刻的氮化硅薄膜和其他薄膜(例如TEOS)的晶圆)这两者中观察到低氮化硅去除率。
2.非常低的氮化硅去除率能够获得最小的氮化硅损失,因此获得图案化晶圆上抛光后的非常低的氮化硅腐蚀。
3.所述组合物可实现低氧化硅凹陷/阶梯高度(step-height)。可通过所述凹陷降低剂的明智选择和装载/浓缩调整凹陷性能。
4.所述组合物与各种各样的磨料兼容。经由颗粒修饰,磨料的ζ电位可被调整以进一步调节在标靶薄膜上的去除率。阴离子、阳离子和中性磨料全部能够形成具有更高的氧化硅去除率和相对地较低的氮化硅去除率的稳定浆料。
5.所述组合物可形成具有高纯度硅胶作为磨料的稳定浆料。当相较于以惯常地使用的二氧化铈磨料(其一般而言在被抛光的晶圆上产生大数量的缺陷)抛光的晶圆时,这容许产生具有低微量金属计数和低大颗粒计数的浆料,导致抛光的晶圆上的缺陷降低。此外,本文所描述的组合物可克服惯常的二氧化硅基STI CMP组合物的某些缺点(诸如它们的高氮化硅去除率以及氧化硅和氮化硅之间的低移除选择性)。
6.所述组合物在各种不同的抛光条件下产生低的氮化物去除率。例如,氮化硅去除率在硬抛光衬垫(例如,聚氨酯基衬垫)和软抛光衬垫(例如,多孔低萧氏D硬度值衬垫)这两者上维持低的。此外,已观察到的是:下压力和速度不会明显地影响氮化硅去除率,这是一个良好的CMP属性,因为停止薄膜行为是非普雷斯顿(non-prestonian)。本公开的组合物表现出去除率根据压力和速度很小变化的事实导致图案化晶圆抛光后的非常好的形貌(topography)和高产率。在本领域的语言中,本公开的组合物导致对于氧化硅凹陷和阶梯高度的低值连同氮化硅腐蚀/损失的低值。
在本公开所讨论的抛光组合物和浓缩物与现今可获得的当代浆料相比提供了在现今世代集成电路基材上的性能支持,同时对于下一代基材和集成方案展现显著的优点。本公开的组合物,相对于移除氮化硅层,可以非常高的选择性成功地且有效地移除各种不同的金属和电介质层。所述组合物可用于浅沟槽隔离(STI)流程、自对准接触流程、或需要非常低的氮化硅材料去除率的其他流程。
附图说明
图1是半导体制造中浅沟槽隔离(STI)流程(包括STI CMP)的工艺流程的示意图。图1(a)显示:在浅沟槽隔离(STI)化学机械平坦化(CMP)之前,热氧化硅(TOX)和氮化硅(SiN)被沉积在硅(Si)的顶部上。随后蚀刻以产生有源区域。图1(b)显示沟槽已产生留下由TOX和SiN覆盖的硅的有源区域。接着用电介质–通常是PE-CVD氧化硅(SiO2)填充。图1(c)显示:有源区域被浅沟槽中的氧化硅电介质隔离。为了完成STI,任选地从有源区域移除SiO2同时保持浅沟槽内的SiO2。这个可由STI CMP(本公开的目标)完成,其中SiO2被高速率移除且SiN(停止层)不被移除。图1(d)显示:蚀刻可用于移除SiN并且暴露硅以完成STI。一旦栅极、金属布线和器件制造被完成,硅的有源区域将变成晶体管。
图2是在抛光之前STI图案化晶圆薄膜堆叠体的示意图,其中
图3是描绘在使用依据本公开的二氧化硅基抛光组合物的STI CMP之后的总体缺陷率的晶圆图谱。
图4是描绘在使用商业的含有二氧化铈磨料的组合物的STI CMP之后的总体缺陷率的晶圆图谱。
具体实施方式
本公开涉及抛光组合物以及用于使用其抛光半导体基材的方法。在一些具体实施方式中,本公开涉及相对于氮化硅表面选择性地抛光氧化硅表面。相对于氮化硅的氧化硅选择性抛光是半导体制造中的关键流程,并且通常在浅沟槽隔离(STI)流程的期间被执行。惯常地,STI抛光组合物(浆料)利用二氧化铈磨料以实现STI流程中所需的抛光性能(例如,选择性),因为使用氧化硅磨料的组合物表现不佳(例如,高的氮化硅去除率)。然而,知晓二氧化铈磨料由于它们的“无机硬”性质当用于抛光组合物时产生高比率的缺陷率和刮痕。进一步,二氧化铈基抛光组合物表现出比二氧化硅基抛光组合物短的储存期限(例如,较低的储存能力、较低的可用时间期间和较早的有效期)、短的适用期(例如,在打开容器后和/或在贮留槽或分配回路中的活性),并且二氧化铈具有比二氧化硅更大的价格波动性。此外,二氧化铈包括稀土金属,比二氧化硅更昂贵。依据本公开的组合物容许将比二氧化铈磨料更软的二氧化硅磨料用于STI浆料。当相较于利用二氧化铈磨料的STI流程时,含有二氧化硅的抛光组合物可提供在相对于氮化硅(例如,SiN)的氧化硅(例如,TEOS)材料去除率(MRR)方面非常好的选择性,同时提供具有非常低的缺陷率的经抛光的晶圆表面。因此,当相较于利用二氧化铈磨料的惯常抛光组合物时,依据本申请的抛光组合物能够增加晶圆的器件产率。
本文公开的抛光组合物可包括(a)磨料、(b)氮化物去除率降低剂、(c)酸或碱、(d)水,以及任选地(e)凹陷降低剂(例如,阴离子凹陷降低剂)。所述抛光组合物可具有至少约2至最多约6.5的pH。本公开的抛光组合物相对于抛光氮化硅可高选择性地抛光电介质或金属。本公开还提供一种使用所述抛光组合物抛光半导体基材的方法。特别地,本公开提供一种用于相对于氮化硅高选择性抛光电介质或金属的方法。
在一或多个具体实施方式中,所述至少一种(例如,2个或3个)磨料选自阳离子磨料、基本上中性磨料以及阴离子磨料。在一或多个具体实施方式中,所述至少一种磨料选自由下列组成的组:氧化铝、二氧化硅、二氧化钛、二氧化铈、氧化锆、其共形成产物、涂敷磨料、表面改性磨料及其混合物。在一些具体实施方式中,所述至少一种磨料不包括二氧化铈。
在一或多个具体实施方式中,所述磨料是二氧化硅基磨料,诸如选自于由下列组成的组的一种:硅胶、煅制氧化硅及其混合物。在一或多个具体实施方式中,所述磨料具有修饰有有机基团和/或非硅质无机基团的表面。例如,所述阳离子磨料可包括具有下列化学式(I)的末端基团:
-Om-X-(CH2)n-Y (I),
其中m是1至3的整数;n是1至10的整数;X是Al、Si、Ti或Zr;Y是阳离子氨基或硫醇基团。作为另一个实例,所述阴离子磨料可包括具有下列化学式(I)的末端基团:
-Om-X-(CH2)n-Y (I)
其中m是1至3的整数;n是1至10的整数;X是Al、Si、Ti或Zr;Y是酸基团。在一些具体实施方式中,所述至少一种磨料可以所述组合物的总重量计至少约0.05wt%(例如,至少约0.1wt%、至少约0.5wt%、至少约1wt%、至少约2wt%、至少约3wt%,或至少约5wt%)至最多约20wt%(例如,最多约15wt%、最多约10wt%、最多约8wt%、最多约6wt%、最多约4wt%,或最多约2wt%)的量存在于本文所描述的抛光组合物中。
在一或多个具体实施方式中,所述本文所描述的磨料可具有至少约1nm(例如,至少约5nm、至少约10nm、至少约20nm、至少约40nm、至少约50nm、至少约60nm、至少约80nm,或至少约100nm)至最多约1000nm(例如,最多约800nm、最多约600nm、最多约500nm、最多约400nm,或最多约200nm)的平均粒径。如本文所用的,平均粒径(MPS)是通过动态光散射技术测定的。
在一或多个具体实施方式中,所述至少一种(例如,2或3个不同的)氮化物去除率降低剂是包括含有C12至C40烃基团(例如,含有烷基基团和/或烯基基团)的疏水部分和含有至少一种选自于由亚磺酸基、硫酸基、磺酸基、羧基、磷酸基团和膦酸基团组成的组的基团的亲水部分的化合物。在一或多个具体实施方式中,所述疏水部分和所述亲水部分被0至10个(例如,1、2、3、4、5、6、7、8或9)环氧烷基团(例如,-(CH2)nO-基团,其中n可以是1、2、3或4)分开。在一或多个具体实施方式中,所述氮化物去除率降低剂具有由0个环氧烷基团分开的所述疏水部分和所述亲水部分。不希望由理论所束缚,被相信的是:在一些具体实施方式中在氮化物去除率降低剂内存在环氧烷基团可能不是较佳的,因为它们可产生浆料稳定性问题和提高氮化硅去除率。
在一或多个具体实施方式中,所述氮化物去除率降低剂以所述组合物的总重量计至少约0.1ppm(例如,至少约0.5ppm、至少约1ppm、至少约5ppm、至少约10ppm、至少约25ppm、至少约50ppm、至少约75ppm,或至少约100ppm)至最多约1000ppm(例如,最多约900ppm、最多约800ppm、最多约700ppm、最多约600ppm、最多约500ppm,或最多约250ppm)的量被包括在本文所描述的抛光组合物。
在一或多个具体实施方式中,所述氮化物去除率降低剂具有含有烃基团的疏水部分,所述烃基团包括至少12个碳原子(C12)(例如,至少14个碳原子(C14)、至少16个碳原子(C16)、至少18个碳原子(C18)、至少20个碳原子(C20),或至少22个碳原子(C22))和/或最多40个碳原子(C40)(例如,最多38个碳原子(C38)、最多36个碳原子(C36)、最多34个碳原子(C34)、最多32个碳原子(C32)、最多30个碳原子(C30)、最多28个碳原子(C28)、最多26个碳原子(C26)、最多24个碳原子(C24),或最多22个碳原子(C22)。本文所提到的烃基团意指含有仅碳和氢原子的基团,并且可包括饱和基团(例如,直链烷基基团、支链烷基基团或环状烷基基团)以及不饱和基团(例如,直链烯基基团、支链烯基基团或环状烯基基团;直链炔基基团、支链炔基基团或环状炔基基团;或芳族基团(例如,苯基或萘基))。在一或多个具体实施方式中,所述氮化物去除率降低剂的所述亲水部分含有至少一种选自磷酸基团和膦酸酯基团的基团。要注意的是:术语“膦酸酯基团”明显地意欲包括膦酸基团。
在一或多个具体实施方式中,所述氮化物去除率降低剂选自由下列组成的组:萘磺酸-福尔马林缩合物(napthalenesulfonic acid-formalin condensate)、月桂醇磷酸酯(lauryl phosphate)、肉豆蔻醇磷酸酯(myristyl phosphate)、硬脂酰磷酸酯(stearylphosphate)、磷酸正十八酯(octadecylphosphonic acid)、油醇磷酸酯(oleylphosphate)、磷酸二十二烷基酯(behenyl phosphate)、十八烷基硫酸酯(octadecylsulfate)、三十二烷基磷酸酯(lacceryl phosphate)、油醇聚醚-3-磷酸酯(oleth-3-phosphate)以及油醇聚醚-10-磷酸酯(oleth-10-phosphate)。
在一或多个具体实施方式中,本文所描述的抛光组合物任选地进一步包括至少一种(例如,2或3个)凹陷降低剂(例如,阴离子凹陷降低剂)。在一或多个具体实施方式中,所述至少一种凹陷降低剂是包括至少一种选自于由下列组成的组的基团的化合物:羟基、硫酸基、膦酸酯基团、磷酸基团、磺酸基、氨基、硝酸基团、亚硝酸基团、羧基以及碳酸基团。在一或多个具体实施方式中,所述至少一种凹陷降低剂选自由多醣和经取代多醣组成的组的至少一种。在一或多个具体实施方式中,所述至少一种凹陷降低剂选自由下列组成的组的至少一种:角叉菜胶、黄原胶、羟丙基纤维素、甲基纤维素、乙基纤维素、羟丙基甲基纤维素以及羧甲基纤维素。在一或多个具体实施方式中,所述至少一种氮化物去除率降低剂和所述至少一种凹陷降低剂是化学上彼此不同的。
在一或多个具体实施方式中,所述凹陷降低剂以所述组合物的总重量计至少约0.1ppm(例如,至少约0.5ppm、至少约1ppm、至少约5ppm、至少约10ppm、至少约25ppm、至少约50ppm、至少约75ppm,或至少约100ppm)至最多约1000ppm(例如,最多约900ppm、最多约800ppm、最多约700ppm、最多约600ppm,或最多约500ppm)的量被包括在本文所描述的抛光组合物中。
在一或多个具体实施方式中,所述酸选自由下列组成的组:甲酸、乙酸、丙二酸、柠檬酸、丙酸、苹果酸、己二酸、琥珀酸、乳酸、草酸、羟基乙叉二膦酸、2-膦基丁烷-1,2,4-三羧酸、氨基三甲叉膦酸、己二胺四甲叉膦酸、双(六亚甲基)三胺膦酸、氨基乙酸、过乙酸、乙酸钾、苯氧基乙酸、甘氨酸、N-二甘氨酸、二甘醇酸、甘油酸、三甲基甘氨酸、丙氨酸、组氨酸、缬氨酸、苯基丙氨酸、脯氨酸、谷氨酰胺、天冬氨酸、谷氨酸、精氨酸、赖氨酸、酪氨酸、苯甲酸、硝酸、硫酸、亚硫酸、磷酸、膦酸、盐酸、过碘酸及其混合物。
在一或多个具体实施方式中,所述碱选自由下列组成的组:氢氧化钾、氢氧化钠、氢氧化铯、氢氧化铵、三乙醇胺、二乙醇胺、单乙醇胺、四丁基氢氧化铵、氢氧化四甲基铵、氢氧化锂、咪唑、三唑、氨基三唑、四唑、苯并三唑、甲基苯并三氮唑、吡唑、异噻唑及其混合物。
在一或多个具体实施方式中,所述酸或碱可以所述组合物的总重量计至少约0.01wt%(例如,至少约0.05wt%、至少约0.1wt%、至少约0.5wt%,或至少约1wt%)至最多约10wt%(例如,最多约8wt%、最多约6wt%、最多约5wt%、最多约4wt%,或最多约2wt%)的量存在于本文所描述的抛光组合物。例如,可以足以调节抛光组合物的pH至所需值的量添加所述酸或碱。
在一或多个具体实施方式中,所述水可以所述组合物的总重量计至少约50wt%(例如,至少约55wt%、至少约60wt%、至少约65wt%、至少约70wt%,或至少约75wt%)至最多约99.9wt%(例如,最多约99.5wt%、最多约99wt%、最多约97wt%、最多约95wt%,或最多约90wt%)的量存在于本文所描述的抛光组合物(例如,作为液体介质或载体)。
在一或多个具体实施方式中,本文所描述的抛光组合物可具有至少约2(例如,至少约2.5、至少约3、至少约3.5,或至少约4)至最多约6.5(例如,最多约6、最多约5.5、最多约5,或最多约4.5)的pH。不希望由理论所束缚,被相信的:具有超过6.5的pH的抛光组合物可能降低氧化硅/氮化硅去除率选择性并且具有稳定性问题。
在一或多个具体实施方式中,本文所描述的抛光组合物可实质上不含有一种或多种某些成分,诸如盐类(例如,卤盐)、聚合物(例如,阳离子或阴离子聚合物,或不是凹陷降低剂的聚合物)、界面活性剂(例如,不是氮化物去除率降低剂的那些界面活性剂)、塑化剂、氧化剂、腐蚀抑制剂(例如,唑或非唑腐蚀抑制剂)和/或某些磨料(例如,二氧化铈磨料或非离子磨料)。可从所述抛光组合物排除的卤盐包括碱金属卤化物(例如,卤化钠或卤化钾)或卤化铵(例如,氯化铵),并且可以是氯化物、溴化物或碘化物。如本文所用的,抛光组合物“实质上不含有”的成分意指不是有意地被添加至所述抛光组合物内的成分。在一些具体实施方式中,本文所描述的抛光组合物可具有最多约1000ppm(例如,最多约500ppm、最多约250ppm、最多约100ppm、最多约50ppm、最多约10ppm,或最多约1ppm)的所述抛光组合物实质上不含有的一或多个上述成分。在一些具体实施方式中,所描述的抛光组合物可完全不含有一或多个上述成分。
在一或多个具体实施方式中,本文所描述的抛光组合物具有至少约3:1、或至少约4:1、或至少约5:1、或至少约10:1、或至少约25:1、或至少约50:1、或至少约60:1、或至少约75:1、或至少约100:1、或至少约150:1、或至少约200:1、或至少约250:1、或至少约300:1、或至少约500:1、或至少约750:1、或最多约1000:1,或最多约5000:1的氧化硅(例如,TEOS)去除率比氮化硅去除率的比率(即,去除率选择性)。在一或多个具体实施方式中,当测量对于抛光空白晶圆或图案化晶圆(即,包括至少氮化硅图案的晶圆,其中所述氮化硅图案覆盖有至少氧化硅(和任选地覆盖有其他材料(诸如金属和电介质))的去除率时,上面所描述的比率可以是可应用的。
在一或多个具体实施方式中,当用所述抛光组合物抛光图案化晶圆(其可包括覆盖有至少氧化硅的至少氮化硅图案)(例如,直到抛光暴露所述图案化晶圆上的所述氮化硅图案)时,最多约1000埃、最多约500埃、或最多约375埃、或最多约250埃、或最多约200埃、或最多约100埃、或最多约50埃,和/或至少约0埃的氧化硅(例如,TEOS)凹陷发生。在一或多个具体实施方式中,当用所述抛光组合物抛光图案化晶圆(其可包括覆盖有至少氧化硅的至少氮化硅图案)(例如,直到抛光暴露所述图案化晶圆上的所述氮化硅图案)时,最多约500埃、或最多约400埃、或最多约300埃、或最多约250埃、最多约200埃、最多约100埃、或最多约75埃、或最多约65埃、或最多约50埃、或最多约32埃和/或至少约0埃的氮化硅腐蚀发生。
在一或多个具体实施方式中,当使用依据本公开所述的抛光组合物抛光图案化晶圆时,平坦化效率(即,在氧化硅阶梯高度的变化除以抛光期间被移除的氧化硅的量,乘以100)是至少约14%(例如,至少约20%、至少约30%、至少约38%、至少约40%、至少约46%、至少约50%、至少约60%、至少约70%,或至少约74%)以及最多约100%(例如,最多约99.9%、最多约99%、最多约95%、最多约90%、最多约80%、最多约70%,以及最多约60%)。在一或多个具体实施方式中,当使用依据本公开所述的抛光组合物(例如,包括氧化硅磨料和所述氮化物去除率降低剂的组合物)抛光图案化晶圆时,具有12英寸(即,约300mm)直径的图案化晶圆上的总缺陷计数是最多175个(例如,最多170个、最多160个、最多150个、最多125个、最多100个、最多75个、最多50个、最多25个、最多10个,或最多5个)。如本文所描述的,被计数的缺陷是在尺寸上至少约90nm的那些缺陷。
在一或多个具体实施方式中,本公开的特征在于一种抛光方法,其可包括将依据本公开所述的抛光组合物施加至基材,所述基材在所述基材的表面上具有至少氮化硅和氧化硅(例如,晶圆);以及使衬垫与所述基材的所述表面接触并且使所述衬垫相对于所述基材移动。在一些具体实施方式中,当所述基材包括覆盖有至少氧化硅(例如,在其他材料(诸如硅基电介质(例如碳化硅等等)、金属、金属氧化物和氮化物等等)的存在下的氧化硅)的至少氮化硅图案时,上述方法可移除至少部分的所述氧化硅(例如,在有源、非沟槽区域上的氧化硅)以暴露氮化硅。应被注意到的是:本文所描述的术语“氮化硅”和“氧化硅”明显地被意欲包括未掺杂形式的和掺杂形式的氮化硅和/或氧化硅这两者。例如,在一或多个具体实施方式中,所述氮化硅和氧化硅可独立地被掺杂有至少一种选自于碳、氮(用于氧化硅)、氧、氢的掺杂物或用于氮化硅或氧化硅的任何其他已知的掺杂物。氧化硅薄膜类型的一些实例包括TEOS(原硅酸四乙酯)、SiOC、SiOCN、SiOCH、SiOH和SiON,仅举几例。氮化硅薄膜类型的一些实例包括SiN(纯氮化硅)、SiCN、SiCNH和SiNH,仅举几例。
在一些具体实施方式中,使用本文所描述的抛光组合物的方法可进一步包括一个或多个额外步骤以从由所述抛光组合物处理的基材产生半导体器件。例如,在上面所描述的抛光方法之前,所述方法可包括一或多个下列步骤:(1)使氧化硅(例如,热氧化硅)沉积在基材(例如,硅晶圆)上以形成氧化硅层,(2)使氮化硅沉积在所述氧化硅层上以形成氮化硅层,(3)蚀刻所述基材以形成沟槽和非沟槽区域,以及(4)使氧化硅沉积至被蚀刻的基材以用氧化硅填充所述沟槽。作为另一个实例,在上面所描述的抛光方法之后,所述方法可包括至少一个额外步骤,诸如蚀刻所述基材(例如,以移除氮化硅和氧化硅)以暴露所述晶圆基材上的硅和/或氧化硅或其他异质薄膜。
实施例
提供实施例以进一步例示说明本公开的抛光组合物和方法的能力。提供的实施例无意并且不应被解释为限制本公开的范畴。除非另有指定,任何被列出的百分比是以重量计(wt%)。在实施例中所描述的氮化物去除率降低剂从各种不同的供货商获得并且可,在一些例子中,包括较小数量的具有要比在下表中指定者更小或更大的碳链长度的相似化合物。在表中指定的碳链长度确定所述氮化物去除率降低剂的主要组分。
实施例1:氮化物停止的证明
在这个实施例中,用于样品1A-1F的抛光组合物主要包括:3w/w%中性硅胶磨料、作为pH调节剂的丙二酸、氮化物去除率降低剂(如果存在),以及作为液体载体的水。所述抛光组合物的pH是2.3。以2psi的下压力和175mL/min的流速使用Applied Materials MirraCMP抛光器以在Dow VP6000衬垫上抛光200mm氧化硅(TEOS)和氮化硅(SiN)空白晶圆。
表1.TEOS和SiN去除率vs.氮化物去除率降低界面活性剂种类
“EO”意指环氧乙烷。“RR”意指去除率。
表1的结果显示:对照抛光组合物(不包括氮化物去除率降低剂)具有为8的氧化硅与氮化硅之间的去除率选择性,其对于需要低氮化硅速率的多数应用是太低的。然而,具有氮化物去除率降低剂的添加,抛光组合物的氮化硅去除率下降到低至以及去除率选择性攀升到高至868。
实施例2:pH范围和不同的磨料表面电荷的证明
在这个实施例中,用于样品2A-2I的抛光组合物包括:3w/w%硅胶磨料、作为pH调节剂的有机酸、n-磷酸正十八酯,以及作为液体载体的水。n-磷酸正十八酯是本文所描述的氮化物去除率降低剂的种类的代表。再者,在这个实施例中,硅胶电荷通过使用中性、阳离子和阴离子氧化硅而变化(如在表2所显示的)。所述抛光组合物的pH在约2.25至约4.25变化。以2psi的下压力和175mL/min的流速使用Applied Materials Mirra CMP抛光器在DowVP6000衬垫上抛光200mm氧化硅(TEOS)和氮化硅空白晶圆。
表2.TEOS和SiN去除率vs.pH与3种类型的氧化硅
如表2所显示的,氮化物去除率降低剂能够与中性二氧化硅、阳离子二氧化硅和阴离子二氧化硅一起在约2.25至约4.25的pH范围控制氮化硅去除率。不管二氧化硅磨料的表面电荷,上述系统的强健的氮化物速率降低是令人惊讶的。例如,通常认为的是:阳离子磨料具有较差的与阴离子氮化物去除率降低剂的兼容性。相反地,在这个系统中,浆料保持稳定并且氮化物去除率降低剂保持活性。
惯常地,当使用阴离子磨料时氮化硅去除率一般而言非常高 且难以控制。显著地,本文所描述的氮化物去除率降低剂能够显著地降低氮化硅去除率。当低的TEOS和氮化硅去除率以及通过阴离子磨料良好抛光的薄膜(例如,碳化硅薄膜)上的高去除率是所需的时,这个类型的系统可以是有用的。
实施例3:氮化物去除率降低剂的链长和头型的作用的证明
在这个实施例中,用于样品3A-3L的抛光组合物包括:3w/w%硅胶磨料、作为pH调节剂的丙二酸、显示在表3中的氮化物去除率降低剂,以及作为液体载体的水。所述抛光组合物的pH是2.25。特别地,用于样品3A-3L的氮化物去除率降低剂包括表3中所述的头型和疏水物且不含有任何环氧烷基团。此外,用于样品3I、3J和3K的氮化物去除率降低剂包括界面活性剂的混合物,其中月桂醇磷酸酯/肉豆蔻醇磷酸酯、硬脂酰磷酸酯以及三十二烷基磷酸酯分别是主要组分。
以2psi的下压力和175mL/min的流速使用Applied Materials Mirra CMP抛光器在Dow VP6000衬垫上抛光200mm氧化硅(TEOS)和氮化硅空白晶圆。
表3.TEOS和SiN去除率vs氮化物去除率降低剂的头基和疏水物
如表3中所显示的,在氮化物去除率降低剂中疏水物的尺寸在决定氮化硅的速率降低的作用中起重要作用。表3显示:在测试的试剂中,在测试条件下链长为12或更大对于有效的氮化物停止更好。在氮化物去除率降低剂中碳链长度为12或更大(参见在表3的样品3D、3E、3F、3G、3I、3J、3K和3L)确保低SiN RR(典型地<5A/min)并且对于空白薄膜的TEOS:SiN RR产生高选择性比率(>250)。因此,该抛光组合物理想地适合用于STI CMP流程,其中氧化硅比氮化硅的高选择性比率是所需的。
实施例4:下压力作用的证明
在这个实施例中,用于样品4A-4C的抛光组合物包括:3w/w%硅胶磨料、作为pH调节剂的有机酸、n-磷酸正十八酯,以及作为液体载体的水。所述抛光组合物的pH为2至6.5。以2、3和4psi的下压力和175mL/min的流速使用Applied Materials Mirra CMP抛光器在Dow IC1010衬垫上抛光200mm高密度等离子体(HDP)氧化硅、原硅酸四乙酯氧化物(TEOS)、硼磷硅酸盐玻璃(BPSG)以及氮化硅涂布的晶圆。
表4.HDP、TEOS、BPSG以及SiN去除率vs.下压力
如表4中所显示的,氧化硅薄膜(HDP、TEOS和BPSG)显示出普雷斯顿(Prestonian)行为,而氮化硅去除率显示出非普雷斯顿行为并且不管施加的下压力而维持良好的控制。在CMP语言中,去除率的普雷斯顿行为意味:抛光速率随着在抛光机的抛光压力和/或角速度/rpm(每分钟转数)增加而线性地增加。对于高速率标靶薄膜,普雷斯顿行为是所需的(在此的氧化硅薄膜)。非普雷斯顿行为意味:抛光速率不随在压力或速度的变化而明显地改变。非普雷斯顿行为对于停止薄膜(本文的SiN)多少是所需的。如表4所见的,随着在下压力的增加,氧化硅薄膜的去除率线性地/普雷斯顿地增加(例如,随着下压力从2psi增加至3至4psi压力,TEOS RR从1835增加至2324至3140A/min)。相反地,随着在压力的增加,SiN(停止薄膜)去除率不明显地改变(即,随着下压力从2psi增加至3至4psi,SiN RR从4A/min至2A/min至1A/min波动)。此外,这个实施例证明:所述抛光组合物在如先前所定义的氧化硅家族薄膜上具有相似的行为。为了进一步说明,在表4中,我们描述3个氧化硅薄膜的实例:HDP、TEOS&BPSG。本公开的抛光组合物非常有效地作用于在所有不同类型的氧化硅薄膜上提供高的材料去除率。使用不同种类的氮化硅薄膜(SiN、SiCN等等)的实例的等效实验显示如在表4所描绘的SiN薄膜上实现的那些相似的浆料停止行为。为了简单起见,在表4中仅描绘了SiN薄膜速率。
实施例5:衬垫作用的证明
在这个实施例中,用于样品5A-5C的抛光组合物包括:3w/w%硅胶磨料、作为pH调节剂的有机酸、氮化物去除率降低剂,以及作为液体载体的水。所述抛光组合物的pH为2至6.5。以2psi的下压力和175mL/min的流速使用Applied Materials Mirra CMP抛光器在DowVP6000或Fujibo H800衬垫上抛光200mm原硅酸四乙酯氧化物(TEOS)和氮化硅(SiN)空白晶圆。
表5.TEOS和SiN去除率vs.衬垫和氮化物去除率降低剂
如表5所显示的,氮化物去除率降低剂对氮化硅保护具有作用。在Dow VP6000衬垫(其具有中等硬度)上,所有样品(5A-5C)提供有效的氮化物保护,如由低SiN去除率和TEOS/SiN去除率选择性所证明的。然而,在Fujibo H800衬垫(其是软衬垫)上,仅含有具有长链饱和疏水物的氮化物去除率降低剂的样品(5A、5B)提供有效的氮化物停止。因此,这个实施例证明:本公开的抛光组合物对所有种类的抛光衬垫都有效地起作用。进一步,这个实施例表明:当氮化物去除率降低剂包括更长的疏水物、是更饱和的和/或更疏水的时,氮化物保护增强的趋势。
实施例6:凹陷降低的证明
在这个实施例中,用于样品6A-6D的抛光组合物包括:3w/w%硅胶磨料、作为pH调节剂的有机酸、n-磷酸正十八酯、阴离子凹陷降低聚合物(如果存在),以及作为液体载体的水。所述抛光组合物的pH是3.0。以2psi的下压力和175mL/min的流速使用AppliedMaterials Mirra CMP抛光器在Dow VP6000衬垫上抛光200mm STI 1氧化硅/氮化硅图案化晶圆。在约50秒和20秒的过度抛光之后,所述晶圆通过激光测量被终端对准。
表6.阴离子凹陷降低聚合物对氧化物凹陷的作用
如表6所显示的,阴离子凹陷降低聚合物的添加在控制氧化物凹陷中是有效的,特别是对小特征。样品6A不包括凹陷降低剂,而样品6B、6C&6D包括3种不同类型的凹陷降低剂。如可从表6所见的,当与样品6A相比较时,对于样品6B、6C和6D,在5μm和20μm特征这两者上的氧化硅凹陷值小得多。
实施例7:浓缩物的证明
在这个实施例中,用于样品7A-7C的抛光组合物包括对应于使用点制剂的浓缩物:3w/w%中性硅胶磨料、作为pH调节剂的有机酸和/或氢氧化钾、n-磷酸正十八酯以及作为液体载体的水。单罐溶液含有抛光所需的所有组分,而二部分系统含有除了有机酸以外的所有组分。平均粒径(MPS)是浆料稳定性的可靠指标。在不稳定的系统中,颗粒随时间聚集,导致可测量的MPS生长。在Malvern工具上使用动态光散射技术测量MPS。浆料被储存在设定至60℃的烘箱中并且每7天测量。根据用于加速老化测试的阿伦尼斯模型关系,21天的完整测试运行对应于大约一年的室温老化。换句话说,若浆料被保持在60℃历时21天并且二氧化硅的MPS没有明显地生长,可证明:浆料具有为1年的实时储存期/有效期。
表7.浆料浓缩物的加速老化(60℃)
如在表7所显示的,所有制剂在整个测试运行中都是稳定的。对于中性二氧化硅的酸性区域的稳定性通常难以实现。单罐溶液在2x浓度(表7显示的选择数据)和在其他浓度水平(例如,3x、4x和高达10x浓缩物)(未显示)在约2至约6.5的pH是稳定的。在二部分溶液(7C)中,除了酸以外的所有组分可被浓缩至更大的程度并且保持稳定(高达10x还保持稳定)。在使用点,添加酸和水以在抛光工具上运行它之前重构浆料。
实施例8:图案化晶圆去除率选择性的证明
在这个实施例中,使用用于样品8A、8B和8C的抛光组合物(其含有硅胶磨料和表1、3和5中显示的氮化物去除率降低剂)抛光200mm STI图案化晶圆,其中图案化氮化硅被用高密度氧化硅填充,如图2所显示。氮化硅中的图案是这样的:在整个晶圆面上排列着各种各样的线间隔、正方形、方格图案以及各种各样的间距和密度的网格阵列。
抛光发生在Applied Materials 200mm Mirra抛光工具上,其装配以一DowDupontVP6000衬垫、3M A165 CIP1调节盘,并且采用2PSI晶圆背压。抛光时间根据通过电动机转矩和红激光(650nm)吸光度这两者检测的原位终点而改变。在抛光期间,可观察到这两个终点信号内的特征,指示在薄膜堆叠体的有效线路中的氧化硅的移除和下面的氮化硅的暴露。图案化氧化硅去除率是根据在氮化硅的暴露之前被移除的材料的量除以抛光时间计算的。相反地,图案化氮化硅去除率是根据被移除的材料的量除以在它已被暴露于所述抛光组合物之后的时间计算的。在完成抛光后,经由200mm OnTrack后CMP清洁工具(来自LamResearch公司)使用Fujifilm Wako 8901后-CMP清洁化学品清洁晶圆。使用KLA TencorF5X椭圆偏光计测量所有晶圆的薄膜厚度测量(例如,以测定去除率)。
表8.各种各样的线间隔阵列上的图案化晶圆去除率和选择性
从在表8所呈现的数据来看,先前在空白晶圆上所观察到的氧化硅和氮化硅材料去除率之间的高选择性也在含有氧化硅(顶部)和氮化硅(底部)这两者的图案化晶圆上观察到。如可在表8所见的,对于样品8A,氧化硅比氮化硅选择性从86一直变化至190,视图案尺寸、密度和间距而定。对于样品8B,氧化硅比氮化硅选择性是54,而对于样品8C,选择性是4。表8仅提供图案化晶圆上的性能的代表性实例。在我们的内部实验中,已观察到选择性比率在图案化测试晶圆上从3(对于图案化晶圆被认为是令人满意的)一直变化至约1000,视薄膜复杂性而定。此外,本文所呈现的含有氮化物去除率降低剂的抛光组合物的选择性超越了现有技术所呈现的许多旧有的、工业标准的、商业上可获得的二氧化铈基STI抛光组合物。
实施例9:图案化晶圆凹陷和腐蚀的证明
在这个实施例中,为了定量终端的氧化硅凹陷/阶梯高度和氮化硅腐蚀/损失,在Park Systems AFM工具中测量类似于用于实施例8的图案化晶圆。用于样品9A和9B的抛光组合物含有表1、3和5中显示的氮化物去除率降低剂,并且用于抛光图案化晶圆(其堆叠体描绘于图2中)。氧化硅凹陷/阶梯高度和氮化硅腐蚀/损失结果显示在表9中。平坦化效率(PE)以百分比报告并且等于氧化硅阶梯高度的变化除以在抛光期间被移除的氧化物的量接着乘以一百(转换成百分比)。
表9.图案化晶圆凹陷和腐蚀
如可在表9所见的,氧化硅凹陷和氮化硅腐蚀是非常小的。典型地,对于凹陷和腐蚀,非常低的数字是较佳的。凹陷和腐蚀数字代表图案化晶圆的CMP抛光后的最终形貌的平坦度。因此,这些数字的低值是所需的,因为这些数字测量含有图案化晶圆中的多个薄膜类型的晶圆上的薄膜的峰和谷的分离。数字越低,存在于峰和沟槽之间的分离越小,意味晶圆表面更平坦,这是半导体制造中CMP流程步骤的总体目标。理想地,零凹陷和腐蚀值是较佳的(意味完全地平坦的晶圆表面)。然而,通常,在实际器件/产品图案化晶圆这些数字一般而言为数百或数千的/>值。因此,表9所显示的数据指示:所述抛光组合物在实现非常低的凹陷和腐蚀值以及因此非常好的图案化晶圆的形貌方面提供了独特/非凡的性能。如可在表9所见的,氧化硅凹陷可以为低至/>和高至/>SiN腐蚀比凹陷好得多,因为腐蚀数字低至/>和高至/>再者,这些是代表性实例,在我们的实验中我们已看到这些凹陷和腐蚀数字高至/>和低至/>这对于本公开的目的仍然是令人满意的并且是半导体制造商可接受的。
关于平坦化效率(PE),数字越大,结果越好。理想的100%的PE是所需的,因为数值意指整个晶圆已被平坦化并且是平坦的,即,在峰和谷之间没有阶梯高度。从表9中的数据来看,可见的是:PE从14%的低值一直变化至74%。因此,这些抛光组合物在图案化晶圆上提供良好的平坦化效率。
再者,表9所呈现的数据显示:本文呈现的抛光组合物超越现有技术的商业上可获得的二氧化铈基STI抛光组合物的氧化物凹陷、氮化硅腐蚀和平坦化效率。
实施例10:在抛光之后图案化晶圆缺陷率的证明
在这个实施例中,在KLA-AIT XUV缺陷计数工具中通过使用商业的二氧化铈基STI配方和实施例8描述的组合物8A(其是含有氮化物去除率降低剂的二氧化硅基抛光组合物)测量类似于用于实施例8和9的图案化晶圆的缺陷率。对于通过使用组合物8A抛光的晶圆的晶圆图谱呈现于图3。对于通过使用商业的二氧化铈基STI抛光组合物抛光的晶圆的晶圆图谱呈现于图4中。
如由图4所证明的,由于磨料的相对硬度和尺寸二氧化铈基制剂容易产生遍布晶圆的严重的弧刮伤与许多缺陷(总缺陷计数大于10,000)。缺陷的仔细检查显示:有许多巨大和微小的刮痕连同许多残余物,其中许多可被认为是整体器件致命缺陷。然而,图3显示:含有高纯度硅胶作为磨料的抛光组合物8A比二氧化铈基组合物(图4)具有少得多的刮伤。确实,氧化硅抛光组合物显示接近“无缺陷”且干净的表面。对于在尺寸上至少90nm的缺陷,总缺陷计数大概175个。缺陷是最终器件产率和可售芯片的生产的关键。在图4所显示的图案化晶圆中,假设每个图案化晶圆有1000个晶粒(每平方)。若缺陷是器件致命缺陷,具有缺陷的各个晶粒变得不可售。因此,因为二氧化铈基抛光组合物显示高数量的缺陷,每个晶圆的可售芯片的其产率会是较低的。相反地,对于本公开的抛光组合物,缺陷显著地较少并且因此每个晶圆的可售芯片的产率显著地较高。
因此,通过使用本公开的抛光组合物所获得的低缺陷率对半导体公司非常有吸引力,因为其提高了它们收入的顶线和底线。从技术观点来看,二氧化铈磨料本质上是无机的(例如,铈镧系金属基氧化物),并且一般而言是硬的,在尺寸上比二氧化硅磨料更大,因此它们易于在晶圆表面上产生大数量的刮痕和缺陷。相反地,硅胶磨料本质上是有机的(硅非金属基氧化物并且呈胶体分散形式),并且一般而言是软的,因此在抛光期间不产生刮痕或缺陷。
本领域技术人员没能开发出具有令人满意的相对于氮化硅的氧化硅移除选择性的二氧化硅基STI抛光组合物。如本文公开的,本公开人已发现二氧化硅和氮化硅去除率降低剂的协同组合,其可给工业供应二氧化硅基STI抛光组合物。此外,在本公开所描述的发明可被应用至除二氧化硅之外的磨料(诸如氧化铝、氧化钛等等)。
虽然已相对于本文所提到的实施例描述了本公开,应了解的是:在没有脱离如所附权利要求所定义的本公开的精神和范畴的情况下其他修饰和变化是可能的。

Claims (22)

1.一种抛光组合物,包括:
至少一种磨料;
至少一种氮化物去除率降低剂,包含:
含有C12至C40烃基团的疏水部分;
含有至少一种基团的亲水部分,所述至少一种基团选自于由亚磺酸基、硫酸基、羧基、磷酸基团和膦酸基团组成的组;和
其中,所述疏水部分和所述亲水部分被0个环氧烷基团分开;
酸的混合物,所述酸的混合物包含氨基酸,其中,所述氨基酸为氨基乙酸、甘氨酸、N-二甘氨酸、三甲基甘氨酸、丙氨酸、组氨酸、缬氨酸、苯基丙氨酸、脯氨酸、天冬氨酸、谷氨酸、精氨酸、赖氨酸或酪氨酸;以及
水;
其中,所述抛光组合物不含盐和阴离子聚合物,并且所述抛光组合物具有2至6.5的pH。
2.如权利要求1所述的抛光组合物,其中,所述酸的混合物进一步包含选自由以下组成的组的酸:甲酸、乙酸、丙二酸、柠檬酸、丙酸、苹果酸、己二酸、琥珀酸、乳酸、草酸、羟基乙叉二膦酸、2-膦基丁烷-1,2,4-三羧酸、氨基三甲叉膦酸、己二胺四甲叉膦酸、双(六亚甲基)三胺膦酸、过乙酸、乙酸钾、苯氧基乙酸、二甘醇酸、甘油酸、苯甲酸、硝酸、硫酸、亚硫酸、磷酸、膦酸、盐酸、过碘酸及其混合物。
3.如权利要求1所述的抛光组合物,其中,所述抛光组合物包括占所述抛光组合物的0.01wt%至10wt%的量的所述酸的混合物。
4.如权利要求1所述的抛光组合物,其中,所述疏水部分含有C16至C22烃基团。
5.如权利要求1所述的抛光组合物,其中,所述亲水部分含有磷酸基团或膦酸基团。
6.如权利要求1所述的抛光组合物,其中,所述至少一种氮化物去除率降低剂选自由以下组成的组:月桂醇磷酸酯、肉豆蔻醇磷酸酯、硬脂酰磷酸酯、磷酸正十八酯、油醇磷酸酯、磷酸二十二烷基酯、十八烷基硫酸酯、三十二烷基磷酸酯以及其混合物。
7.如权利要求1所述的抛光组合物,其中,所述抛光组合物包括至少两种或至少三种氮化物去除率降低剂。
8.如权利要求1所述的抛光组合物,其中,所述至少一种氮化物去除率降低剂的量为所述抛光组合物的至少0.1ppm至最多1000ppm。
9.如权利要求1所述的抛光组合物,其中,所述至少一种磨料选自由以下组成的组:阳离子磨料、中性磨料和阴离子磨料。
10.如权利要求1所述的抛光组合物,其中,所述至少一种磨料选自由以下组成的组:氧化铝、二氧化硅、二氧化钛、二氧化铈、氧化锆、其共形成产物、涂敷磨料、表面改性磨料以及其混合物。
11.如权利要求1所述的抛光组合物,其中,所述至少一种磨料包括二氧化硅基磨料。
12.如权利要求1所述的抛光组合物,其中,所述至少一种磨料的量为所述抛光组合物的至少0.05wt%至最多20wt%。
13.如权利要求1所述的抛光组合物,其中,所述水的量为所述抛光组合物的至少50wt%至最多99.9wt%。
14.如权利要求1所述的抛光组合物,进一步包括:
至少一种凹陷降低剂;
其中,所述至少一种凹陷降低剂是含有至少一种基团的化合物,所述至少一种基团选自于由羟基、硫酸基、膦酸基团、磷酸基团、磺酸基、氨基、硝酸基团、亚硝酸基团、羧基以及碳酸基团组成的组。
15.如权利要求14所述的抛光组合物,其中,所述至少一种氮化物去除率降低剂和所述至少一种凹陷降低剂是化学上彼此不同的。
16.如权利要求1所述的抛光组合物,其中,所述抛光组合物具有至少3:1的氧化硅去除率比氮化硅去除率的比率。
17.如权利要求1所述的抛光组合物,其中,所述抛光组合物具有至少100:1的氧化硅去除率比氮化硅去除率的比率。
18.如权利要求1所述的抛光组合物,进一步包括至少一种碱。
19.如权利要求1所述的抛光组合物,其中,所述抛光组合物不含氧化剂。
20.一种抛光方法,包括:
将如权利要求1-19中任一项所述的抛光组合物施加至基材,所述基材在所述基材的表面上具有至少氮化硅和至少氧化硅;以及
使衬垫与所述基材的所述表面接触,并且使所述衬垫相对于所述基材移动。
21.如权利要求20所述的方法,其中,所述氮化硅和所述氧化硅的至少一种被掺杂有至少一种选自于由碳、氮、氧以及氢组成的组的掺杂物。
22.如权利要求20所述的方法,进一步包括从所述基材形成半导体器件。
CN202210415098.1A 2018-12-19 2019-08-30 抛光组合物及其使用方法 Active CN114736612B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210415098.1A CN114736612B (zh) 2018-12-19 2019-08-30 抛光组合物及其使用方法

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201862781648P 2018-12-19 2018-12-19
US62/781,648 2018-12-19
US16/356,685 2019-03-18
US16/356,685 US10763119B2 (en) 2018-12-19 2019-03-18 Polishing compositions and methods of using same
CN202210415098.1A CN114736612B (zh) 2018-12-19 2019-08-30 抛光组合物及其使用方法
CN201910812800.6A CN111334193B (zh) 2018-12-19 2019-08-30 抛光组合物及其使用方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201910812800.6A Division CN111334193B (zh) 2018-12-19 2019-08-30 抛光组合物及其使用方法

Publications (2)

Publication Number Publication Date
CN114736612A CN114736612A (zh) 2022-07-12
CN114736612B true CN114736612B (zh) 2023-09-26

Family

ID=66625744

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202210415098.1A Active CN114736612B (zh) 2018-12-19 2019-08-30 抛光组合物及其使用方法
CN201910812800.6A Active CN111334193B (zh) 2018-12-19 2019-08-30 抛光组合物及其使用方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201910812800.6A Active CN111334193B (zh) 2018-12-19 2019-08-30 抛光组合物及其使用方法

Country Status (8)

Country Link
US (3) US10763119B2 (zh)
EP (1) EP3670620B1 (zh)
JP (1) JP2022514787A (zh)
KR (1) KR102303865B1 (zh)
CN (2) CN114736612B (zh)
SG (1) SG11202106585UA (zh)
TW (1) TWI749324B (zh)
WO (1) WO2020131155A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10763119B2 (en) 2018-12-19 2020-09-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same
KR20210018607A (ko) * 2019-08-06 2021-02-18 삼성디스플레이 주식회사 연마 슬러리, 이를 이용한 표시 장치의 제조방법 및 표시 장치
US11680186B2 (en) * 2020-11-06 2023-06-20 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same
WO2022204012A1 (en) * 2021-03-26 2022-09-29 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using the same
KR20220149148A (ko) * 2021-04-30 2022-11-08 에스케이씨솔믹스 주식회사 반도체 공정용 연마 조성물 및 연마 조성물을 적용한 반도체 소자의 제조 방법
CN116333598A (zh) * 2021-12-23 2023-06-27 安集微电子科技(上海)股份有限公司 一种绝缘膜抛光液及其使用方法
KR20230172348A (ko) * 2022-06-15 2023-12-22 에스케이엔펄스 주식회사 반도체 공정용 조성물 및 이를 이용한 반도체 소자 제조방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000048642A (ko) * 1996-09-27 2000-07-25 콘라드 에스. 캐딩 복합재의 연마용 조성물 및 연마 방법
WO2009110729A1 (en) * 2008-03-06 2009-09-11 Lg Chem, Ltd. Cmp slurry and a polishing method using the same
CN101959983A (zh) * 2008-03-06 2011-01-26 株式会社Lg化学 Cmp浆料及使用该浆料进行抛光的方法
CN102199399A (zh) * 2010-03-16 2011-09-28 罗门哈斯电子材料Cmp控股股份有限公司 对包含多晶硅、氧化硅和氮化硅的基片进行抛光的方法
CN103834305A (zh) * 2012-11-22 2014-06-04 安集微电子(上海)有限公司 一种化学机械抛光液

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5738800A (en) 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
FR2785614B1 (fr) * 1998-11-09 2001-01-26 Clariant France Sa Nouveau procede de polissage mecano-chimique selectif entre une couche d'oxyde de silicium et une couche de nitrure de silicium
US6455417B1 (en) 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
GB2393447B (en) 2002-08-07 2006-04-19 Kao Corp Polishing composition
US7071105B2 (en) * 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
CN100399536C (zh) * 2003-04-21 2008-07-02 斯欧普迪克尔股份有限公司 带有电设备的硅基光设备的cmos兼容集成
TWI288046B (en) 2003-11-14 2007-10-11 Showa Denko Kk Polishing composition and polishing method
US20050189322A1 (en) * 2004-02-27 2005-09-01 Lane Sarah J. Compositions and methods for chemical mechanical polishing silica and silicon nitride
JP4316406B2 (ja) 2004-03-22 2009-08-19 株式会社フジミインコーポレーテッド 研磨用組成物
US7988878B2 (en) * 2004-09-29 2011-08-02 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Selective barrier slurry for chemical mechanical polishing
JP2006179678A (ja) * 2004-12-22 2006-07-06 Hitachi Chem Co Ltd 半導体絶縁膜用cmp研磨剤及び基板の研磨方法
KR101068483B1 (ko) * 2006-05-16 2011-09-28 쇼와 덴코 가부시키가이샤 연마조성물의 제조방법
EP2075824A4 (en) * 2006-07-28 2011-05-04 Showa Denko Kk POLISHING COMPOSITION
US8728341B2 (en) * 2009-10-22 2014-05-20 Hitachi Chemical Company, Ltd. Polishing agent, concentrated one-pack type polishing agent, two-pack type polishing agent and method for polishing substrate
US8273142B2 (en) * 2010-09-02 2012-09-25 Cabot Microelectronics Corporation Silicon polishing compositions with high rate and low defectivity
WO2012032469A1 (en) * 2010-09-08 2012-03-15 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrate materials for electrical, mechanical and optical devices
CN102559058B (zh) * 2010-12-21 2015-05-27 安集微电子(上海)有限公司 一种化学机械抛光液
DE102011089221A1 (de) 2011-12-20 2013-06-20 Henkel Ag & Co. Kgaa Färbemittel mit direktziehenden Farbstoffen und Phospat-Tensiden
SG11201502768UA (en) 2012-11-02 2015-05-28 Fujimi Inc Polishing composition
JP6116888B2 (ja) 2012-12-18 2017-04-19 花王株式会社 磁気ディスク基板用研磨液組成物
JP2014130957A (ja) * 2012-12-28 2014-07-10 Kao Corp 半導体基板用研磨液組成物
JP6243671B2 (ja) 2013-09-13 2017-12-06 株式会社フジミインコーポレーテッド 研磨用組成物
US9752057B2 (en) 2014-02-05 2017-09-05 Cabot Microelectronics Corporation CMP method for suppression of titanium nitride and titanium/titanium nitride removal
CN116288366A (zh) * 2014-10-21 2023-06-23 Cmc材料股份有限公司 腐蚀抑制剂以及相关的组合物及方法
JP6538368B2 (ja) 2015-02-24 2019-07-03 株式会社フジミインコーポレーテッド 研磨用組成物及び研磨方法
US10946494B2 (en) 2015-03-10 2021-03-16 Showa Denko Materials Co., Ltd. Polishing agent, stock solution for polishing agent, and polishing method
JP6582567B2 (ja) * 2015-06-03 2019-10-02 日立化成株式会社 スラリー及びその製造方法、並びに、研磨方法
KR102463863B1 (ko) * 2015-07-20 2022-11-04 삼성전자주식회사 연마용 조성물 및 이를 이용한 반도체 장치의 제조 방법
KR20170044522A (ko) 2015-10-15 2017-04-25 삼성전자주식회사 화학적 기계적 연마용 슬러리 조성물, 그의 제조 방법, 그를 이용한 연마 방법
US10066126B2 (en) 2016-01-06 2018-09-04 Cabot Microelectronics Corporation Tungsten processing slurry with catalyst
WO2017163847A1 (ja) 2016-03-25 2017-09-28 株式会社フジミインコーポレーテッド 研磨用組成物ならびに研磨方法および半導体基板の製造方法
US10119048B1 (en) 2017-07-31 2018-11-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Low-abrasive CMP slurry compositions with tunable selectivity
KR20200025542A (ko) 2018-08-30 2020-03-10 삼성전자주식회사 화학적 기계적 연마용 슬러리 조성물
US10763119B2 (en) 2018-12-19 2020-09-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same
US10759970B2 (en) 2018-12-19 2020-09-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000048642A (ko) * 1996-09-27 2000-07-25 콘라드 에스. 캐딩 복합재의 연마용 조성물 및 연마 방법
TW460431B (en) * 1996-09-27 2001-10-21 Rodel Inc Composition and method for polishing a composite of silica and silicon nitride
WO2009110729A1 (en) * 2008-03-06 2009-09-11 Lg Chem, Ltd. Cmp slurry and a polishing method using the same
CN101959983A (zh) * 2008-03-06 2011-01-26 株式会社Lg化学 Cmp浆料及使用该浆料进行抛光的方法
CN102199399A (zh) * 2010-03-16 2011-09-28 罗门哈斯电子材料Cmp控股股份有限公司 对包含多晶硅、氧化硅和氮化硅的基片进行抛光的方法
CN103834305A (zh) * 2012-11-22 2014-06-04 安集微电子(上海)有限公司 一种化学机械抛光液

Also Published As

Publication number Publication date
KR102303865B1 (ko) 2021-09-17
US11424131B2 (en) 2022-08-23
CN114736612A (zh) 2022-07-12
US10763119B2 (en) 2020-09-01
TW202024286A (zh) 2020-07-01
CN111334193A (zh) 2020-06-26
KR20200077373A (ko) 2020-06-30
US20220375758A1 (en) 2022-11-24
JP2022514787A (ja) 2022-02-15
SG11202106585UA (en) 2021-07-29
CN111334193B (zh) 2022-05-24
US20200343098A1 (en) 2020-10-29
TWI749324B (zh) 2021-12-11
EP3670620B1 (en) 2023-10-11
EP3670620A1 (en) 2020-06-24
WO2020131155A1 (en) 2020-06-25
US20200203172A1 (en) 2020-06-25

Similar Documents

Publication Publication Date Title
CN114736612B (zh) 抛光组合物及其使用方法
KR102303864B1 (ko) 연마 조성물 및 이를 사용하는 방법
US20100081279A1 (en) Method for Forming Through-base Wafer Vias in Fabrication of Stacked Devices
JP5441896B2 (ja) 銅ダマシン工程用化学機械的研磨スラリー組成物
US20230265313A1 (en) Polishing Compositions and Methods of Using Same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant