CN114117989A - 一种芯片的设计方法、设计装置、计算机设备及存储介质 - Google Patents

一种芯片的设计方法、设计装置、计算机设备及存储介质 Download PDF

Info

Publication number
CN114117989A
CN114117989A CN202010901336.0A CN202010901336A CN114117989A CN 114117989 A CN114117989 A CN 114117989A CN 202010901336 A CN202010901336 A CN 202010901336A CN 114117989 A CN114117989 A CN 114117989A
Authority
CN
China
Prior art keywords
power
power supply
supply network
chip
bus network
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010901336.0A
Other languages
English (en)
Inventor
林峰
吴增泉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Changxin Memory Technologies Inc
Original Assignee
Changxin Memory Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Changxin Memory Technologies Inc filed Critical Changxin Memory Technologies Inc
Priority to CN202010901336.0A priority Critical patent/CN114117989A/zh
Priority to EP21819313.4A priority patent/EP3989099A4/en
Priority to PCT/CN2021/101364 priority patent/WO2022041972A1/zh
Priority to US17/398,220 priority patent/US20220067264A1/en
Publication of CN114117989A publication Critical patent/CN114117989A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/18Chip packaging
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公开了一种芯片的设计方法、设计装置、计算机设备及存储介质。其中,芯片的设计方法包括根据焊盘位置和芯片平面布局图创建电源总线网络,确定电路模块的电源端口在电源总线网络中的位置,根据电源总线网络创建电源供应网络模型,根据电源供应网络模型和电路模块的电源端口在电源总线网络中的位置生成嵌有电源供应网络的网表,根据嵌有电源供应网络的网表进行电路仿真。本发明提供的芯片的设计方法、设计装置、计算机设备及存储介质,缩短了芯片设计开发周期,降低了设计成本。

Description

一种芯片的设计方法、设计装置、计算机设备及存储介质
技术领域
本发明实施例涉及芯片设计技术领域,尤其涉及一种芯片的设计方法、设计装置、计算机设备及存储介质。
背景技术
随着集成电路技术的发展,芯片的信号完整性和电源完整性分析变得越来越重要。随着芯片工艺尺寸的不断降低,芯片电源供应网络的寄生影响越来越大,若设计不好可能导致关键电路模块的时序不满足要求、瞬态噪声愈发严重等,从而影响芯片的实际工作性能,严重时还会引起逻辑错误以至于芯片失效。
在芯片设计中,需要进行(版图设计)前仿真和(版图设计)后仿真的流程。通常在芯片完整版图设计完成后才会进行电源完整性的仿真分析,若仿真发现时序余裕(timingmargin)不满足要求,则需对电源供应网络或电路做多次反复设计、验证,严重增加设计开发周期和成本。
需要说明的是,在上述背景技术部分发明的信息仅用于加强对本公开的背景的理解,因此可以包括不构成对本领域普通技术人员已知的现有技术的信息。
发明内容
本发明提供一种芯片的设计方法、设计装置、计算机设备及存储介质,以缩短芯片设计开发周期,降低设计成本。
第一方面,本发明实施例提供了一种芯片的设计方法,包括:
根据焊盘位置和芯片平面布局图创建电源总线网络;
确定电路模块的电源端口在所述电源总线网络中的位置;
根据所述电源总线网络创建电源供应网络模型;
根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成嵌有电源供应网络的网表;
根据所述嵌有电源供应网络的网表进行电路仿真。
可选的,所述电路模块为关键时序电路模块。
可选的,所述关键时序电路模块包括所述芯片的读时序路径、写时序路径、阵列时序路径、命令时序路径中任一种或多种时序路径上的电路模块。
可选的,根据所述电源总线网络创建电源供应网络模型包括:
根据所述电源总线网络创建所述电源总线网络的版图;
对所述电源总线网络的版图进行寄生参数的提取;
计算所述寄生参数的数值;
根据所述寄生参数的数值创建所述电源供应网络模型。
可选的,在对所述电源总线网络的版图进行寄生参数的提取之前,还包括创建所述电路模块的版图。
可选的,根据所述电源总线网络创建电源供应网络模型,包括:
创建电源供应网络单元,所述电源供应网络单元包括多条电源线和多条电源桥连线,所述电源线和所述电源桥连线均包含电阻和电容中的至少一种;
根据所述电源总线网络,创建由多个所述电源供应网络单元形成的阵列,以构成所述电源供应网络模型。
可选的,所述电阻和/或所述电容的值为可编程。
可选的,所述电阻和/或电容的值根据所述电源线或者所述电源桥连线的尺寸和材质计算得到。
可选的,所述芯片的设计方法还包括:
创建芯片的封装电源供应网络模型和/或信号供应网络模型;
根据所述电源总线网络创建电源供应网络模型包括:
根据所述电源总线网络、所述芯片的封装电源供应网络模型和/或所述信号供应网络模型创建所述电源供应网络模型。
可选的,所述芯片的设计方法还包括:
创建控制芯片、通道PDN模型和/或SDN模型;
根据所述电源总线网络创建电源供应网络模型包括:
根据所述电源总线网络和所述控制芯片、所述通道PDN模型和/或所述SDN模型创建所述电源供应网络模型。
可选的,确定电路模块的电源端口在所述电源总线网络中的位置包括:
确定电路模块的电源端口在所述电源总线网络中的横纵坐标。
可选的,根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成嵌有电源供应网络的网表包括:
根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成电源供应网络配置文件,所述电源供应网络配置文件用于整合电源供应网络模型和所述电路模块;
根据电源供应网络配置文件生成嵌有电源供应网络的网表。
可选的,所述电源供应网络配置文件至少包括所述电路模块的电源端口在所述电源总线网络中的横纵坐标信息。
可选的,根据所述嵌有电源供应网络的网表进行电路仿真后,还包括:
对所述电源总线网络进行修改或对所述电路模块进行修改;
生成修改后的嵌有电源供应网络的网表;
根据所述修改后的嵌有电源供应网络的网表进行电路仿真。
第二方面,本发明实施例还提供了一种芯片的设计装置,该装置包括:
电源总线网络生成模块,用于根据焊盘位置和芯片平面布局图创建电源总线网络;
电路模块位置确定模块,用于确定所述电路模块的电源端口在所述电源总线网络中的位置;
电源供应网络模型生成模块,用于根据所述电源总线网络创建电源供应网络模型;
嵌有电源供应网络的网表生成模块,用于根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成嵌有电源供应网络的网表;
仿真模块,用于根据所述嵌有电源供应网络的网表进行电路仿真。
第三方面,本发明实施例还提供了一种计算机设备,包括存储器、处理器及存储在存储器上并可在处理器上运行的计算机程序,所述处理器执行所述计算机程序时实现第一方面所述的任一芯片的设计方法。
第四方面,本发明实施例还提供了一种包含计算机可执行指令的存储介质,所述计算机可执行指令在由计算机处理器执行时用于执行第一方面所述的任一芯片的设计方法。
本发明实施例提供的芯片的设计方法、设计装置、计算机设备及存储介质,通过根据焊盘位置和芯片平面布局图创建电源总线网络,确定电路模块的电源端口在电源总线网络中的位置,根据电源总线网络创建电源供应网络模型,根据电源供应网络模型和电路模块的电源端口在电源总线网络中的位置生成嵌有电源供应网络的网表,根据嵌有电源供应网络的网表进行电路仿真,将电源总线网络中的寄生参数整合进电路网表进行仿真,实现芯片的电源完整性分析,以验证芯片的电源供应网络设计是否满足要求,既可以在版图设计完成分析,也可以在版图设计完成后分析,降低了电源供应网络设计的难度,从而缩减芯片设计的开发周期,降低设计成本。
附图说明
图1为本发明实施例提供的一种芯片的设计方法的流程示意图;
图2为本发明实施例提供的另一种芯片的设计方法的流程示意图;
图3为本申请实施例提供的一种电源总线网络的结构示意图;
图4为图3在A处的放大结构示意图;
图5为本发明实施例提供的一种电路模块和电源总线网络的整合结构示意图;
图6为本发明实施例提供的一种电源供应网络模型的结构示意图;
图7为本发明实施例提供的一种电源供应网络单元的结构示意图;
图8为本发明实施例提供的一种电路模块和电源供应网络模型的整合结构示意图;
图9为本发明实施例提供的一种创建电源供应网络模型的流程示意图;
图10为本发明实施例提供的又一种芯片的设计方法的流程示意图;
图11为本发明实施例提供的一种存储系统的结构示意图;
图12为本发明实施例提供的一种芯片的设计装置的结构示意图;
图13为本发明实施例提供的一种电源供应网络模型生成模块的结构示意图;
图14为本发明实施例提供的一种电源供应网络模型生成模块的结构示意图;
图15为本发明实施例提供的一种嵌有电源供应网络的网表生成模块的结构示意图;
图16为本发明实施例提供的一种计算机设备的结构示意图。
具体实施方式
下面结合附图和实施例对本发明作进一步的详细说明。可以理解的是,此处所描述的具体实施例仅仅用于解释本发明,而非对本发明的限定。另外还需要说明的是,为了便于描述,附图中仅示出了与本发明相关的部分而非全部结构。
图1为本发明实施例提供的一种芯片的设计方法的流程示意图,如图1所示,本发明实施例提供的芯片的设计方法包括:
步骤1、根据焊盘位置和芯片平面布局图创建电源总线网络。
示例性的,图2为本发明实施例提供的另一种芯片的设计方法的流程示意图,图3为本申请实施例提供的一种电源总线网络的结构示意图,如图2和图3所示,首先对芯片进行布局规划:完成芯片长度和宽度的确定,完成焊盘的排列,完成电源规划,创建焊盘、电源总线计划(Create pads log&power bus plan)。其中,焊盘的排列同时考虑将来芯片应用于封装基板的走线方便以及芯片内部易于实现等多重因素。根据芯片所需的功能,设计电路模块,创建电路原理图和电路网表;完成电路模块和电源总线在芯片中的布局,创建芯片平面布局图(floor plan)。根据焊盘位置和芯片平面布局图创建电源总线网络,如图3所示,电源总线网络包括焊盘10和电源总线11,焊盘10包括电源焊盘101和信号焊盘102,电源焊盘101用于为电源总线11各个节点提供相应的电源,信号焊盘102用于提供信号,电源总线11与电源焊盘101连接。其中,电源总线11可由多层金属层构成。图4为图3在A处的放大结构示意图,如图4所示,以四层金属层为例,电源总线11由M1、M2、M3和M4四层金属层构成,从而可通过不同的金属层传输不同电压的电源。需要说明的是,在其他实施例中,电源总线也可由其他数量层金属层构成,可根据需要自行选择。
步骤2、确定电路模块的电源端口在所述电源总线网络中的位置。
示例性的,图5为本发明实施例提供的一种电路模块和电源总线网络的整合结构示意图,如图5所示,根据芯片平面布局图确定电路模块12在电源总线网络中的位置,找出各电路模块12的各电源端口,确定电源端口在电源总线网络中的位置,从而为后续确定各电路模块12的各电源端口与电源焊盘101的连接路径做好准备。具体的,如图5所示,以电路模块12包括电源模块1、电源模块2、电源模块3和电源模块4为例,每个电路模块12包括两个电源端口,分别用于连接提供高电平的电源焊盘101和提供低电平的电源焊盘101,根据芯片平面布局图确定电源模块1、电源模块2、电源模块3和电源模块4在电源总线网络中的位置,找出各电路模块12的各电源端口,确定电源端口在电源总线网络中的位置,进而确定各电路模块12的各电源端口与电源焊盘101的连接路径。
步骤3、根据所述电源总线网络创建电源供应网络模型。
示例性的,图6为本发明实施例提供的一种电源供应网络模型的结构示意图,图7为本发明实施例提供的一种电源供应网络单元的结构示意图,如图6和图7所示,电源供应网络模型包括多个焊盘20,以及多个电源供应网络单元23构成的阵列,电源供应网络单元23之间通过电源线21和/或电源桥连线22互相连接。每个电源供应网络单元23均包括多条电源线21和多条电源桥连线22,其中电源线21和电源桥连线22上包含电阻231、电容232中的至少一种。可计算电源线21和电源桥连线22上寄生电阻的值和/或寄生电容的值,并将寄生电阻的值和/或寄生电容的值添加到电源供应网络单元23的电阻231和/或电容232中,使得电源供应网络模型带有电源总线网络上的寄生参数。本实施例中的手动建立电源供应网络模型的方法简单有效,并易于追踪对电源供应网络的优化修改。
步骤4、根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成嵌有电源供应网络的网表。
示例性的,图8为本发明实施例提供的一种电路模块和电源供应网络模型的整合结构示意图,参考图5和图8,将电源总线网络与电源供应网络模型进行比对,根据电路模块12的电源端口在电源总线网络中的位置确定电路模块12的电源端口在电源供应网络模型中的位置,根据电源总线网络中电路模块12的电源端口与电源焊盘101的连接路径确定在电源供应网络模型中电路模块12的电源端口与焊盘20的连接路径,将位于连接路径上的电源供应网络单元23与电路网表进行整合,生成嵌有电源供应网络的网表,以使嵌有电源供应网络的网表包含电源总线网络上的寄生参数。其中,图8仅示出了电路模块2和电源供应网络模型的整合结构示意图,在图5中,PDN2-1表示电路模块2的电源端口与电源焊盘101之间连接路径上的电源供应网络单元的集合,同理,PDN2-2、PDN1-1、PDN1-2、PDN3-1、PDN3-2、PDN4-1、PDN4-2代表各电路模块12的各电源端口与电源焊盘101之间相应路径上的电源供应网络单元的集合。
步骤5、根据所述嵌有电源供应网络的网表进行电路仿真。
其中,对具有电源总线网络上寄生参数的嵌有电源供应网络的网表进行电路仿真,以提前验证芯片的电源供应网络设计是否满足要求,降低后续设计难度,从而缩减芯片设计的开发周期,降低设计成本。需要说明的是,本实施例的设计方法既可以在版图设计完成前进行电路仿真,也可以在完整版图设计后进行电路仿真,还可以在部分版图设计完成后进行仿真,且可以在整个设计过程中进行多次仿真,本领域内技术人员可自行选择。
本发明实施例提供的芯片的设计方法,通过根据焊盘位置和芯片平面布局图创建电源总线网络,确定电路模块的电源端口在电源总线网络中的位置,根据电源总线网络创建电源供应网络模型,根据电源供应网络模型和电路模块的电源端口在电源总线网络中的位置生成嵌有电源供应网络的网表,根据嵌有电源供应网络的网表进行电路仿真,将电源总线网络中的寄生参数整合进电路网表进行仿真,实现芯片的电源完整性分析,以验证芯片的电源供应网络设计是否满足要求,降低了电源供应网络设计的难度,从而缩减芯片设计的开发周期,降低设计成本。
可选的,电路模块为关键时序电路模块。
其中,关键时序电路模块为对电源较为敏感的电路模块,通过将关键时序电路模块的电源端口与电源焊盘101的连接路径上的电源总线11的寄生参数添加到电路网表进行仿真,忽略对电源不敏感的电路模块,保证关键时序电路模块的电源端口的电压及电流符合需求的同时,有助于减小计算量,提高仿真速度。
可选的,关键时序电路模块包括芯片的读时序路径、写时序路径、阵列时序路径、命令时序路径中任一种或多种时序路径上的电路模块,芯片包括动态随机存储器(DRAM)。
其中,通常在芯片的读时序路径、写时序路径、阵列时序路径、命令时序路径上的电路模块较为重要,对电源较为敏感,因此,将读时序路径、写时序路径、阵列时序路径、命令时序路径上的电路模块设定为关键时序电路模块,将关键时序电路模块的电源端口与电源焊盘101的连接路径上的电源总线11的寄生参数添加到电路网表进行仿真,实现关键时序电路模块的电源完整性分析,保证关键时序电路模块的电源端口的电压及电流符合需求,降低了设计难度,缩减芯片设计的开发周期,降低设计成本。
可选的,根据所述电源总线网络创建电源供应网络模型包括:
根据所述电源总线网络创建所述电源总线网络的版图。
对所述电源总线网络的版图进行寄生参数的提取。
计算所述寄生参数的数值。
根据所述寄生参数的数值创建所述电源供应网络模型。
图9为本发明实施例提供的一种利用计算软件创建电源供应网络模型的流程示意图,如图9所示,示例性的,根据电源总线网络创建电源供应网络模型具体包括:
形成设计(setup design):根据电源总线网络中电源总线的宽度、间距和层次,设计出电源总线网络的版图,其中,电源总线网络的版图为电源总线网络的物理情况的平面几何形状描述。
电源总线网络提取(PG extraction,PG:Power Grid):利用软件的寄生参数提取功能,从电源总线网络的版图提取电源分配网络中的寄生电阻和/或寄生电容等。
电源计算(Power calculation):计算寄生电阻的数值和/或寄生电容的数值,或其他寄生参数的数值。
创建CPM(CPM creation):根据上述寄生参数的数值自动创建CPM(芯片电源模型,chip power model),以形成电源供应网络模型。
其中,通过用软件从电源总线网络的版图提取电源分配网络中的寄生参数,创建所述电源供应网络模型,有助于提高电源供应网络模型的精确度。
可选的,在对所述电源总线网络的版图进行寄生参数的提取之前,还包括创建所述电路模块的版图。
具体的,继续参考图2,在芯片设计中,创建芯片平面布局图、电路原理图和电路网表后进行前仿真,根据前仿真结果对电路进行优化,前仿真通过后,完成各个电路模块的最终版图设计,创建电路的版图,对版图进行标准寄生格式提取,以获取版图上各电路模块及信号走线的寄生参数,将版图的寄生参数添加到电路中进行后仿真,根据后仿真结构进行电路优化,调整版图设计,直到通过后仿真验证。可在对电源总线网络的版图进行寄生参数的提取之前,创建电路模块的版图,从而可以利用软件同时进行电源总线网络的版图的寄生参数提取和电路模块的版图的标准寄生格式提取,有助于进一步缩短芯片设计开发周期。
可选的,根据所述电源总线网络创建电源供应网络模型,包括:
创建电源供应网络单元,所述电源供应网络单元包括多条电源线和多条电源桥连线,所述电源线和所述电源桥连线均包含电阻和电容中的至少一种;
根据所述电源总线网络,创建由多个所述电源供应网络单元形成的阵列,以构成所述电源供应网络模型。
示例性的,继续参考图6和图7,电源供应网络模型还可通过手动建立,具体过程如下,根据需要,创建电源供应网络单元23,电源供应网络单元23包括多条电源线21和多条电源桥连线22,电源线21和电源桥连线22均包含电阻231和电容232中的至少一种,电阻231和电容232的位置和数量可根据实际需要进行设置,本发明实施例对此不作限定。根据电源总线网络,创建由多个电源供应网络单元23形成的阵列,多个电源供应网络单元23之间通过电源线21和/或电源桥连线22电连接,以最终形成电源供应网络模型。
其中,电源线21和电源桥连线22均可由单层或多层金属层构成。示例性的,继续参考图7,电源线21和电源桥连线22可包括多个电源类型,如图中的V1-1、V2-1、V3-1、V1-2、V3-2、V2-2、V1-3和V3-3电源,多条电源线21包括相同电源种类但用于不同目的的电源线21,各电源桥连线22用于电连接相同电源种类但用于不同目的的至少部分电源线21,所述电源焊盘101用于电连接至对应电源种类的电源线21,用于为其提供相应种类的电源。本领域技术人员可根据实际需求对金属层的数量以及电源供应网络单元23内电源线21和电源桥连线22的数量、连接关系等进行自行设置。
可选的,电阻231和电容232的值为可编程。
其中,通过设置电阻231和电容232的值为可编程,便于对电源供应网络单元23中电阻231和电容232的值进行修改,在对电路进行优化时,可根据对电路的优化结果实时计算电源线21和电源桥连线22上寄生电阻的值和/或寄生电容的值,并将寄生电阻的值和/或寄生电容的值通过编程添加到电源供应网络单元23的电阻231和/或电容232中,有助于进一步缩短芯片设计开发周期。
可选的,电阻231和电容232的值根据电源线21或者电源桥连线22的尺寸和材质计算得到。
其中,可根据电源线21或者电源桥连线22的尺寸和材质计算得到电阻231和电容232的值,后续进行电路优化时,只需直观的调整电源线21或者电源桥连线22的尺寸和材质,通过计算更新电阻231和电容232的值。
在其他实施例中,还可根据电源线21或者电源桥连线22的间距、层次等计算电阻231和电容232的值,以获得更加精准的寄生参数,本发明实施例对此不作限定。
图10为本发明实施例提供的又一种芯片的设计方法的流程示意图,如图10所示,可选的,根据焊盘位置和芯片平面布局图创建电源总线网络之前,还包括:
创建芯片的封装电源供应网络模型(PDN)和/或信号供应网络模型(SDN);
则根据所述电源总线网络创建电源供应网络模型包括:
根据所述电源总线网络、芯片的封装电源供应网络模型和/或信号供应网络模型创建电源供应网络模型。
在其他实施例中,芯片的设计方法也可以包括:
创建控制芯片、通道PDN模型和/或SDN模型;
则根据所述电源总线网络创建电源供应网络模型包括:
根据所述电源总线网络和控制芯片、通道PDN模型和/或SDN模型创建电源供应网络模型。
图11为本发明实施例提供的一种存储系统的结构示意图,如图11所示,示例性的,本发明实施例提供的芯片的设计方法所得出的芯片可以为存储芯片,控制芯片用于控制存储芯片进行读、写等操作,控制芯片和存储芯片分别进行封装后,设置在基板上。继续参考图10,在根据焊盘位置和芯片平面布局图创建电源总线网络之前,创建控制芯片、通道PDN模型和/或SDN(signal distribution Network)模型,以及存储芯片的封装电源供应网络模型和/或信号供应网络模型,将其整合进本实施例中电源网络供应模型的创建中,可进一步提升电路仿真与实际使用性能之间准确性,从而使得仿真结果更符合实际情况,获得精准的仿真结果,继而达到所设计即所得的效果,进一步缩短设计周期,降低设计成本,提高设计成功率。需要说明的是,图11只是本实施例设计方法所适用的一种存储系统架构,存储芯片与控制芯片的封装集成形式不限于图11中的形式,对于其他形式的封装集成,同样适用本实施例的设计方法,本实施例对此不做限定,本领域内技术人员可根据需要自行设置。
可选的,确定电路模块的电源端口在所述电源总线网络中的位置包括:
确定电路模块的电源端口在所述电源总线网络中的横纵坐标。
其中,在电源总线网络中定义横纵坐标,用横纵坐标来表示电路模块的电源端口在电源总线网络中的位置,继续参考图5,示例性的,电源总线网络具有横坐标X-2至X2和纵坐标Y0至Y2,通过确定电路模块12的电源端口在电源总线网络中的横纵坐标,即可确定电路模块的电源端口在电源总线网络中的位置,该方法较为简单,容易实现。
在其他实施例中,也可采用极坐标的形式确定电路模块的电源端口在电源总线网络中的位置,本发明实施例对此不作限定。
可选的,根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成嵌有电源供应网络的网表包括:
根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成电源供应网络配置文件,所述电源供应网络配置文件用于整合电源供应网络模型和所述电路模块;
根据电源供应网络配置文件生成嵌有电源供应网络的网表。
其中,生成电源供应网络配置文件,通过导入电源供应网络配置文件来整合电源供应网络模型和电路模块,生成嵌有电源供应网络的网表,操作简单,减少工作量。
可选的,电源供应网络配置文件至少包括电路模块的电源端口在电源总线网络中的横纵坐标信息。
其中,通过导入电源供应网络配置文件,获取电源供应网络配置文件中电路模块的电源端口在电源总线网络中的横纵坐标信息,确定电路模块的电源端口在电源供应网络模型中的位置,进而确定电路模块12的电源端口与焊盘20的连接路径,将位于连接路径上的电源供应网络单元23与电路网表进行整合,生成嵌有电源供应网络的网表,以使嵌有电源供应网络的网表包含电源总线网络上的寄生参数。
下表1为本发明实施例提供的一种电源供应网络配置文件示意。
表1
Figure BDA0002659848870000161
示例性的,如表1所示,电源供应网络配置文件可包括电源总线名称(Power GridName)、PDN模型中名称(Net in PDN Model)、实例模块(Power for Instance Block)、实例模块的电源引脚(Power Pin of Instance Block)、去耦电容(Decap on Power Grid)、位置(Layout location)以及备注(Notes)等信息。其中,实例模块为芯片中的电路模块的名称,实例模块的电源引脚为电路模块的电源端口的名称,电源总线名称为该电路模块的电源端口与电源焊盘单元201连接所经过的电源总线名称,PDN模型中名称为上述电源总线在电源供应网络模型中的名称,位置为电路模块的电源端口在电源总线网络中的横纵坐标信息,去耦电容是指是否需要设置去耦电容(例如0代表没有去耦电容,1代表有去耦电容,但本实施例对此不作限定),此电容可以提供较稳定的电源,同时也可以降低元件耦合到电源端的噪声,间接可以减少其他元件受此元件噪声的影响,备注可提供其他整合所需信息。导入电源供应网络配置文件后,找出实例模块的电源引脚和电源总线名称,与电路网表进行匹配,根据位置和PDN模型中名称在电路网表中添加实例模块的电源引脚和电源总线之间的电源供应网络单元,从而生成嵌有电源供应网络的网表。
在其他实施例中,本领域技术人员可根据实际需要对电源供应网络配置文件进行设置,本发明实施例对此不作限定。
可选的,根据所述嵌有电源供应网络的网表进行电路仿真后,还包括:
对所述电源总线网络进行修改或对所述电路模块进行修改;
生成修改后的嵌有电源供应网络的网表;
根据所述修改后的嵌有电源供应网络的网表进行电路仿真。
示例性的,继续参考图2和图10,对嵌有电源供应网络的网表进行电路仿真后,对仿真结果进行时序分析,根据分析结果对电源总线网络进行修改或对电路模块进行修改,从而实现电路优化,然后生成修改后的嵌有电源供应网络的网表,根据修改后的嵌有电源供应网络的网表进行电路仿真,直到通过仿真验证,进行流片工序。
继续参考图2和图10,本发明提供的芯片的设计方法可应用于前仿真阶段(创建版图之前)和/或后仿真阶段(创建版图之后),且无需改变常规的芯片设计流程,应用灵活。
本发明实施例提供的芯片的设计方法,通过根据焊盘位置和芯片平面布局图创建电源总线网络,确定电路模块的电源端口在电源总线网络中的位置,根据电源总线网络创建电源供应网络模型,根据电源供应网络模型和电路模块的电源端口在电源总线网络中的位置生成嵌有电源供应网络的网表,根据嵌有电源供应网络的网表进行电路仿真,将电源总线网络中的寄生参数整合进电路网表进行仿真,实现芯片的电源完整性分析,降低了设计难度,从而缩减芯片设计的开发周期,降低设计成本。且该方法可应用于常规芯片设计流程中的任一仿真阶段,应用灵活。
基于同样的发明构思,本发明实施例还提供了一种芯片的设计装置,图12为本发明实施例提供的一种芯片的设计装置的结构示意图,如图12所示,本发明实施例提供的芯片的设计装置包括:
电源总线网络生成模块31,用于根据焊盘位置和芯片平面布局图创建电源总线网络。
电路模块位置确定模块32,用于确定电路模块的电源端口在电源总线网络中的位置。
电源供应网络模型生成模块33,用于根据所述电源总线网络创建电源供应网络模型。
嵌有电源供应网络的网表生成模块34,用于根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成嵌有电源供应网络的网表。
仿真模块35,用于根据所述嵌有电源供应网络的网表进行电路仿真。
图13为本发明实施例提供的一种电源供应网络模型生成模块的结构示意图,如图13所示,可选的,电源供应网络模型生成模块33包括:
电源总线网络的版图生成单元331,用于根据所述电源总线网络创建所述电源总线网络的版图。
寄生参数提取单元332,用于对所述电源总线网络的版图进行寄生参数的提取。
寄生参数计算单元333,用于计算所述寄生参数的数值。
电源供应网络模型生成单元334,用于根据所述寄生参数的数值创建所述电源供应网络模型。
图14为本发明实施例提供的一种电源供应网络模型生成模块的结构示意图,如图14所示,可选的,电源供应网络模型生成模块33包括:
电源供应网络单元生成单元335,用于根据需要生成电源供应网络单元,所述电源供应网络单元包括多条电源线和多条电源桥连线,所述电源线和所述电源桥连线均包含电阻和电容中的至少一种。
电源供应网络模型生成单元336,用于创建多个电源供应网络单元形成的阵列,以形成电源供应网络模型。
图15为本发明实施例提供的一种嵌有电源供应网络的网表生成模块的结构示意图,如图15所示,可选的,嵌有电源供应网络的网表生成模块34包括:
电源供应网络配置文件生成单元341,根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成电源供应网络配置文件,所述电源供应网络配置文件用于整合电源供应网络模型和所述电路模块;
嵌有电源供应网络的网表生成单元342,根据电源供应网络配置文件生成嵌有电源供应网络的网表。
本发明实施例所提供的芯片的设计装置可执行本发明任意实施例所提供的芯片的设计方法,具备执行方法相应的功能模块和有益效果,与上述实施例相同或相应的结构以及术语的解释在此不再赘述。
基于同样的发明构思,本发明实施例还提供了一种计算机设备,包括存储器、处理器及存储在存储器上并可在处理器上运行的计算机程序,其特征在于,所述处理器执行所述计算机程序时实现本发明任意实施例所提供的芯片的设计方法。
图16为本发明实施例提供的一种计算机设备的结构示意图,如图16所示,该计算机设备包括处理器40、存储器41、输入装置42和输出装置43;计算机设备中处理器40的数量可以是一个或多个,图16中以一个处理器40为例;计算机设备中的处理器40、存储器41、输入装置42和输出装置43可以通过总线或其他方式连接,图16中以通过总线连接为例。
存储器41作为一种计算机可读存储介质,可用于存储软件程序、计算机可执行程序以及模块,如本发明实施例中的芯片的设计方法对应的程序指令/模块(例如,芯片的设计装置中的电源总线网络生成模块31、电路模块位置确定模块32、电源供应网络模型生成模块33、嵌有电源供应网络的网表生成模块34和仿真模块35)。处理器40通过运行存储在存储器41中的软件程序、指令以及模块,从而执行计算机设备的各种功能应用以及数据处理,即实现上述的芯片的设计方法。
存储器41可主要包括存储程序区和存储数据区,其中,存储程序区可存储操作系统、至少一个功能所需的应用程序;存储数据区可存储根据终端的使用所创建的数据等。此外,存储器41可以包括高速随机存取存储器,还可以包括非易失性存储器,例如至少一个磁盘存储器件、闪存器件、或其他非易失性固态存储器件。在一些实例中,存储器41可进一步包括相对于处理器40远程设置的存储器,这些远程存储器可以通过网络连接至计算机设备。上述网络的实例包括但不限于互联网、企业内部网、局域网、移动通信网及其组合。
输入装置42可用于接收输入的数字或字符信息,以及产生与计算机设备的用户设置以及功能控制有关的键信号输入。输出装置43可包括显示屏等显示设备。
基于同样的发明构思,本发明实施例还提供了一种包含计算机可执行指令的存储介质,其特征在于,所述计算机可执行指令在由计算机处理器执行时用于执行本发明任意实施例所提供的芯片的设计方法。
该芯片的设计方法包括:
步骤1、根据焊盘位置和芯片平面布局图创建电源总线网络。
步骤2、确定电路模块的电源端口在所述电源总线网络中的位置。;
步骤3、根据所述电源总线网络创建电源供应网络模型。
步骤4、根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成嵌有电源供应网络的网表。
步骤5、根据所述嵌有电源供应网络的网表进行电路仿真。
当然,本发明实施例所提供的一种包含计算机可执行指令的存储介质,其计算机可执行指令不限于如上所述的方法操作,还可以执行本发明任意实施例所提供的芯片的设计方法中的相关操作。
通过以上关于实施方式的描述,所属领域的技术人员可以清楚地了解到,本发明可借助软件及必需的通用硬件来实现,当然也可以通过硬件实现,但很多情况下前者是更佳的实施方式。基于这样的理解,本发明的技术方案本质上或者说对现有技术做出贡献的部分可以以软件产品的形式体现出来,该计算机软件产品可以存储在计算机可读存储介质中,如计算机的软盘、只读存储器(Read-Only Memory,ROM)、随机存取存储器(RandomAccess Memory,RAM)、闪存(FLASH)、硬盘或光盘等,包括若干指令用以使得一台计算机设备(可以是个人计算机,服务器,或者网络设备等)执行本发明各个实施例所述的方法。
值得注意的是,上述芯片的设计装置的实施例中,所包括的各个单元和模块只是按照功能逻辑进行划分的,但并不局限于上述的划分,只要能够实现相应的功能即可;另外,各功能单元的具体名称也只是为了便于相互区分,并不用于限制本发明的保护范围。
注意,上述仅为本发明的较佳实施例及所运用技术原理。本领域技术人员会理解,本发明不限于这里所述的特定实施例,对本领域技术人员来说能够进行各种明显的变化、重新调整和替代而不会脱离本发明的保护范围。因此,虽然通过以上实施例对本发明进行了较为详细的说明,但是本发明不仅仅限于以上实施例,在不脱离本发明构思的情况下,还可以包括更多其他等效实施例,而本发明的范围由所附的权利要求范围决定。

Claims (17)

1.一种芯片的设计方法,其特征在于,包括:
根据焊盘位置和芯片平面布局图创建电源总线网络;
确定电路模块的电源端口在所述电源总线网络中的位置;
根据所述电源总线网络创建电源供应网络模型;
根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成嵌有电源供应网络的网表;
根据所述嵌有电源供应网络的网表进行电路仿真。
2.根据权利要求1所述的芯片的设计方法,其特征在于,所述电路模块为关键时序电路模块。
3.根据权利要求2所述的芯片的设计方法,其特征在于,所述关键时序电路模块包括所述芯片的读时序路径、写时序路径、阵列时序路径、命令时序路径中任一种或多种时序路径上的电路模块。
4.根据权利要求1所述的芯片的设计方法,其特征在于,根据所述电源总线网络创建电源供应网络模型包括:
根据所述电源总线网络创建所述电源总线网络的版图;
对所述电源总线网络的版图进行寄生参数的提取;
计算所述寄生参数的数值;
根据所述寄生参数的数值创建所述电源供应网络模型。
5.根据权利要求4所述的芯片的设计方法,其特征在于,在对所述电源总线网络的版图进行寄生参数的提取之前,还包括创建所述电路模块的版图。
6.根据权利要求1所述的芯片的设计方法,其特征在于,根据所述电源总线网络创建电源供应网络模型,包括:
创建电源供应网络单元,所述电源供应网络单元包括多条电源线和多条电源桥连线,所述电源线和所述电源桥连线均包含电阻和电容中的至少一种;
根据所述电源总线网络,创建由多个所述电源供应网络单元形成的阵列,以构成所述电源供应网络模型。
7.根据权利要求6所述的芯片的设计方法,其特征在于,所述电阻和/或所述电容的值为可编程。
8.根据权利要求7所述的芯片的设计方法,其特征在于,所述电阻和/或电容的值根据所述电源线或者所述电源桥连线的尺寸和材质计算得到。
9.根据权利要求1所述的芯片的设计方法,其特征在于,还包括:
创建芯片的封装电源供应网络模型和/或信号供应网络模型;
根据所述电源总线网络创建电源供应网络模型包括:
根据所述电源总线网络、所述芯片的封装电源供应网络模型和/或所述信号供应网络模型创建所述电源供应网络模型。
10.根据权利要求9所述的芯片的设计方法,其特征在于,还包括:
创建控制芯片、通道PDN模型和/或SDN模型;
根据所述电源总线网络创建电源供应网络模型包括:
根据所述电源总线网络和所述控制芯片、所述通道PDN模型和/或所述SDN模型创建所述电源供应网络模型。
11.根据权利要求1所述的芯片的设计方法,其特征在于,确定电路模块的电源端口在所述电源总线网络中的位置包括:
确定电路模块的电源端口在所述电源总线网络中的横纵坐标。
12.根据权利要求11所述的芯片的设计方法,其特征在于,根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成嵌有电源供应网络的网表包括:
根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成电源供应网络配置文件,所述电源供应网络配置文件用于整合电源供应网络模型和所述电路模块;
根据电源供应网络配置文件生成嵌有电源供应网络的网表。
13.根据权利要求12所述的芯片的设计方法,其特征在于,所述电源供应网络配置文件至少包括所述电路模块的电源端口在所述电源总线网络中的横纵坐标信息。
14.根据权利要求1所述的芯片的设计方法,其特征在于,根据所述嵌有电源供应网络的网表进行电路仿真后,还包括:
对所述电源总线网络进行修改或对所述电路模块进行修改;
生成修改后的嵌有电源供应网络的网表;
根据所述修改后的嵌有电源供应网络的网表进行电路仿真。
15.一种芯片的设计装置,其特征在于,包括:
电源总线网络生成模块,用于根据焊盘位置和芯片平面布局图创建电源总线网络;
电路模块位置确定模块,用于确定所述电路模块的电源端口在所述电源总线网络中的位置;
电源供应网络模型生成模块,用于根据所述电源总线网络创建电源供应网络模型;
嵌有电源供应网络的网表生成模块,用于根据所述电源供应网络模型和所述电路模块的电源端口在所述电源总线网络中的位置生成嵌有电源供应网络的网表;
仿真模块,用于根据所述嵌有电源供应网络的网表进行电路仿真。
16.一种计算机设备,包括存储器、处理器及存储在存储器上并可在处理器上运行的计算机程序,其特征在于,所述处理器执行所述计算机程序时实现如权利要求1-14中任一所述的芯片的设计方法。
17.一种包含计算机可执行指令的存储介质,其特征在于,所述计算机可执行指令在由计算机处理器执行时用于执行权利要求1-14中任一所述的芯片的设计方法。
CN202010901336.0A 2020-08-31 2020-08-31 一种芯片的设计方法、设计装置、计算机设备及存储介质 Pending CN114117989A (zh)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN202010901336.0A CN114117989A (zh) 2020-08-31 2020-08-31 一种芯片的设计方法、设计装置、计算机设备及存储介质
EP21819313.4A EP3989099A4 (en) 2020-08-31 2021-06-21 CHIP DESIGN METHOD AND DESIGN DEVICE, COMPUTER DEVICE AND STORAGE MEDIUM
PCT/CN2021/101364 WO2022041972A1 (zh) 2020-08-31 2021-06-21 一种芯片的设计方法、设计装置、计算机设备及存储介质
US17/398,220 US20220067264A1 (en) 2020-08-31 2021-08-10 Chip design method, design device, computer device and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010901336.0A CN114117989A (zh) 2020-08-31 2020-08-31 一种芯片的设计方法、设计装置、计算机设备及存储介质

Publications (1)

Publication Number Publication Date
CN114117989A true CN114117989A (zh) 2022-03-01

Family

ID=80354165

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010901336.0A Pending CN114117989A (zh) 2020-08-31 2020-08-31 一种芯片的设计方法、设计装置、计算机设备及存储介质

Country Status (4)

Country Link
US (1) US20220067264A1 (zh)
EP (1) EP3989099A4 (zh)
CN (1) CN114117989A (zh)
WO (1) WO2022041972A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116681010A (zh) * 2023-05-17 2023-09-01 珠海妙存科技有限公司 芯片基板网表校对方法、装置、设备及介质
WO2023173465A1 (zh) * 2022-03-17 2023-09-21 长鑫存储技术有限公司 集成电路的仿真方法及其仿真系统
WO2024016485A1 (zh) * 2022-07-22 2024-01-25 长鑫存储技术有限公司 电路仿真方法与电子设备

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115358173B (zh) * 2022-10-21 2023-04-07 芯和半导体科技(上海)股份有限公司 一种芯片封装电源网络电磁建模方法及系统

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100397646C (zh) * 2005-05-10 2008-06-25 北京中星微电子有限公司 具有多版本电路选择的集成电路结构
CN102024067B (zh) * 2009-09-09 2012-08-22 中国科学院微电子研究所 一种模拟电路工艺移植的方法
CN102236728B (zh) * 2010-04-30 2013-08-07 国际商业机器公司 一种集成电路设计方法和设计仿真系统
CN103995943A (zh) * 2014-06-09 2014-08-20 上海华力微电子有限公司 电路后仿真方法
CN109145334B (zh) * 2017-06-27 2023-04-07 深圳市中兴微电子技术有限公司 一种芯片设计处理的方法及装置
CN109684755B (zh) * 2018-12-28 2023-05-09 佛山中科芯蔚科技有限公司 一种数模混合芯片异步电路全定制方法及系统

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023173465A1 (zh) * 2022-03-17 2023-09-21 长鑫存储技术有限公司 集成电路的仿真方法及其仿真系统
WO2024016485A1 (zh) * 2022-07-22 2024-01-25 长鑫存储技术有限公司 电路仿真方法与电子设备
CN116681010A (zh) * 2023-05-17 2023-09-01 珠海妙存科技有限公司 芯片基板网表校对方法、装置、设备及介质
CN116681010B (zh) * 2023-05-17 2023-12-22 珠海妙存科技有限公司 芯片基板网表校对方法、装置、设备及介质

Also Published As

Publication number Publication date
EP3989099A1 (en) 2022-04-27
US20220067264A1 (en) 2022-03-03
WO2022041972A1 (zh) 2022-03-03
EP3989099A4 (en) 2022-11-23

Similar Documents

Publication Publication Date Title
CN114117989A (zh) 一种芯片的设计方法、设计装置、计算机设备及存储介质
US9286421B1 (en) Methods, systems, and articles of manufacture for back annotating and visualizing parasitic models of electronic designs
CN111488717B (zh) 标准单元时序模型的抽取方法、装置、设备及存储介质
JPH08202763A (ja) 超lsi回路のパワーネットワークの分析装置及び分析方法
US20070094622A1 (en) Methods, Apparatus and Computer Program Products for Generating Selective Netlists that Include Interconnection Influences at Pre-Layout and Post-Layout Design Stages
US8856701B1 (en) Method of radio-frequency and microwave device generation
US20180261590A1 (en) Integrated circuit and computer-implemented method of manufacturing the same
US9122833B2 (en) Method of designing fin field effect transistor (FinFET)-based circuit and system for implementing the same
CN104933214B (zh) 集成电路设计方法和装置
US20170344692A1 (en) Computer-implemented method of designing an integrated circuit
CN107016143A (zh) 参数提取的方法及其系统
CN103810316B (zh) 降低寄生失配的方法
JP2006253187A (ja) 電源解析方法および電源解析を実行するプログラム
US9064081B1 (en) Generating database for cells routable in pin layer
US20090288055A1 (en) Method and system for characterizing an integrated circuit design
CN106897504B (zh) 对ip模块进行开发形成参数化单元的方法
JP2007219930A (ja) シミュレーション方法およびプログラム
US7398506B2 (en) Net list producing device producing a net list with an interconnection parasitic element by hierarchical processing
US11042682B2 (en) Analog design tool having a cell set, and related methods
US6601025B1 (en) Method to partition the physical design of an integrated circuit for electrical simulation
JPH07287051A (ja) 論理シミュレータ用入力データ作成装置
JP4668974B2 (ja) 半導体装置の設計方法、半導体装置設計システム及びコンピュータプログラム
US10031989B2 (en) Integrated circuit performance modeling using a connectivity-based condensed resistance model for a conductive structure in an integrated circuit
CN116796701B (zh) 一种器件测试单元结构自动化实现装置和方法
JP2821419B2 (ja) 論理シミュレータ

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination