CN113410243B - Method for forming three-dimensional memory device - Google Patents

Method for forming three-dimensional memory device Download PDF

Info

Publication number
CN113410243B
CN113410243B CN202110623282.0A CN202110623282A CN113410243B CN 113410243 B CN113410243 B CN 113410243B CN 202110623282 A CN202110623282 A CN 202110623282A CN 113410243 B CN113410243 B CN 113410243B
Authority
CN
China
Prior art keywords
layer
doped semiconductor
contact
semiconductor layer
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110623282.0A
Other languages
Chinese (zh)
Other versions
CN113410243A (en
Inventor
张坤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Priority to CN202110623282.0A priority Critical patent/CN113410243B/en
Publication of CN113410243A publication Critical patent/CN113410243A/en
Application granted granted Critical
Publication of CN113410243B publication Critical patent/CN113410243B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/40Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/50Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0239Material of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08146Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8036Bonding interfaces of the semiconductor or solid state body
    • H01L2224/80379Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Abstract

Embodiments of a 3D memory device and a method of forming the same are disclosed. In an example, a method for forming a 3D memory device is disclosed. A sacrificial layer on the substrate, a P-type doped semiconductor layer with an N-well on the sacrificial layer, and a dielectric stack on the P-type doped semiconductor layer are sequentially formed. A channel structure is formed that extends vertically through the dielectric stack and the P-doped semiconductor layer. The dielectric stack is replaced with a storage stack such that the channel structure extends vertically through the storage stack and the P-doped semiconductor layer. The substrate and the sacrificial layer are removed to expose the ends of the channel structures. The semiconductor plug is used to replace the portion of the channel structure adjacent to the P-doped semiconductor layer.

Description

Method for forming three-dimensional memory device
Technical Field
Embodiments of the present disclosure relate to a three-dimensional (3D) memory device and a method of fabricating the same.
Background
Planar memory cells have been scaled down to smaller dimensions by improving process technology, circuit design, programming algorithms, and fabrication processes. However, as the feature size of the memory cell approaches the lower limit, planar processing and fabrication techniques become more difficult and more costly. Therefore, the storage density for the planar memory cell approaches the upper limit.
The 3D memory architecture can address density limitations in planar memory cells. The 3D memory architecture includes a memory array and peripheral devices for controlling signals to and from the memory array.
Disclosure of Invention
Embodiments of a 3D memory device and a method of forming the same are disclosed herein.
In one example, a method for forming a 3D memory device is disclosed. A sacrificial layer on the substrate, a P-type doped semiconductor layer with an N-well on the sacrificial layer, and a dielectric stack on the P-type doped semiconductor layer are sequentially formed. A channel structure is formed that extends vertically through the dielectric stack and the P-doped semiconductor layer. The dielectric stack is replaced with a storage stack such that the channel structure extends vertically through the storage stack and the P-doped semiconductor layer. The substrate and the sacrificial layer are removed to expose the ends of the channel structures. The semiconductor plug is used to replace the portion of the channel structure adjacent to the P-doped semiconductor layer.
In another example, a method for forming a 3D memory device is disclosed. The device layer of a silicon-on-insulator (SOI) wafer, which includes a handle layer, a buried oxide layer, and a device layer, is doped with a P-type dopant. Portions of the doped device layer are doped with an N-type dopant to form an N-well in the doped device layer. A dielectric stack is formed on the doped device layer of the SOI wafer. A channel structure is formed that extends vertically through the dielectric stack and the doped device layer. The dielectric stack is replaced with a memory stack such that the channel structure extends vertically through the memory stack and the doped device layer. The handle layer and buried oxide layer of the SOI wafer are removed to expose the ends of the channel structure. The semiconductor plug is used to replace the portion of the channel structure adjacent to the doped device layer.
In yet another example, a method for forming a 3D memory device is disclosed. Peripheral circuitry is formed on the first substrate. A channel structure is formed over the second substrate extending vertically through the storage stack and the P-doped semiconductor layer having the N-well. The first substrate and the second substrate are bonded in a face-to-face manner such that the memory stack is above the peripheral circuitry. The second substrate is removed to expose an upper end of the channel structure. The semiconductor plug is used to replace the portion of the channel structure adjacent to the P-doped semiconductor layer.
Drawings
The accompanying drawings, which are incorporated herein and form a part of the specification, illustrate embodiments of the present disclosure and, together with the description, further serve to explain the principles of the disclosure and to enable a person skilled in the pertinent art to make and use the disclosure.
Fig. 1 illustrates a side view of a cross section of an exemplary 3D memory device according to some embodiments of the present disclosure.
Fig. 2 illustrates a side view of a cross section of another exemplary 3D memory device according to some embodiments of the present disclosure.
Fig. 3A-3N illustrate a fabrication process for forming an exemplary 3D memory device according to some embodiments of the present disclosure.
Fig. 4A-4O illustrate a fabrication process for forming another exemplary 3D memory device according to some embodiments of the present disclosure.
Fig. 5A illustrates a flowchart of a method for forming an exemplary 3D memory device, according to some embodiments of the present disclosure.
Fig. 5B illustrates a flowchart of another method for forming an exemplary 3D memory device, according to some embodiments of the present disclosure.
Fig. 6A illustrates a flowchart of a method for forming another exemplary 3D memory device, according to some embodiments of the present disclosure.
Fig. 6B illustrates a flowchart of another method for forming another exemplary 3D memory device, according to some embodiments of the present disclosure.
Embodiments of the present disclosure will be described with reference to the accompanying drawings.
Detailed Description
While specific configurations and arrangements are discussed, it should be understood that such discussion is for illustrative purposes only. Those skilled in the art will recognize that other configurations and arrangements may be used without departing from the spirit and scope of the present disclosure. It will be apparent to those skilled in the art that the present disclosure may be used in a variety of other applications as well.
It should be noted that references in the specification to "one embodiment," "an example embodiment," "some embodiments," etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Furthermore, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to effect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.
Generally, terms may be understood, at least in part, by use in the context. For example, the term "one or more" as used herein may be used to describe any feature, structure, or characteristic in a singular sense, or may be used to describe a combination of features, structures, or characteristics in a plural sense, at least in part depending on the context. Similarly, the terms "a," "an," or "the" may also be understood to convey a singular usage or a plural usage, depending at least in part on the context. Furthermore, the word "based on" may be understood as not necessarily intended to convey an exclusive set of factors, and, conversely, again depending at least in part on context, may allow for the presence of additional factors that are not necessarily explicitly stated.
It should be readily understood that "on … …", "above … …" and "above … …", "on … …" in this disclosure should be interpreted in the broadest sense, not only to mean directly on something but also to include on something with intermediate features or layers therebetween, and "above … …" or "above … …" includes not only on or above something but also on or above something with no intermediate features or layers therebetween (i.e., directly on something).
Further, spatially relative terms, such as "below," "beneath," "above," "over," and the like, may be used herein for ease of description to describe one element or feature's relationship to another element or feature as illustrated. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may have other orientations (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
The term "substrate" as used herein refers to a material to which subsequent layers of material are added. The substrate itself can be patterned. The material added to the substrate may be patterned or may remain unpatterned. In addition, the substrate may comprise a wide range of semiconductor materials, such as silicon, germanium, gallium arsenide, indium phosphide, and the like. Alternatively, the substrate may be formed of a non-conductive material, such as glass, plastic, or sapphire wafer, or the like.
The term "layer" as used herein may refer to a portion of material that includes regions having a certain thickness. The layer may extend over the entire underlying or overlying structure, or may have a range that is less than the range of the underlying or overlying structure. Furthermore, the layer may be a region of a homogeneous or heterogeneous continuous structure having a thickness less than the thickness of the continuous structure. For example, the layers may be located between any pair of horizontal planes between or at the top and bottom surfaces of the continuous structure. The layers may extend horizontally, vertically and/or along a tapered surface. The substrate may be a layer, may include one or more layers therein, and/or may have one or more layers located thereon, and/or thereunder. The layer may comprise a plurality of layers. For example, the interconnect layers may include one or more conductor layers and contact layers in which interconnect lines and/or vertical interconnect channel (via) contacts are formed, and one or more dielectric layers.
The term "nominal" as used herein refers to a range of expected or target values along with values above and/or below the expected values for a feature or parameter of a component or process operation set within a design phase of a product or process. The range of values may be due to slight variations in manufacturing process or tolerances. As used herein, the term "about" means that a predetermined amount of value can vary based on the particular technology node associated with the subject semiconductor device. Based on a particular technology node, the term "about" may indicate that a given amount of a value varies within, for example, 10-30% of the value (e.g., + -10%, + -20%, or 30% of the value).
The term "3D memory device" as used herein refers to a semiconductor device having a string of vertically oriented memory cell transistors (referred to herein as a "memory string," e.g., a NAND memory string) that are on a laterally oriented substrate such that the memory string extends in a vertical direction relative to the substrate. The term "vertically" as used herein refers to a lateral surface nominally perpendicular to a substrate.
In some 3D memory devices (e.g., 3D NAND memory devices), a slit structure (e.g., gate Line Slit (GLS)) is used to provide electrical connection from the front side of the device to the source of the memory array (e.g., array Common Source (ACS)). However, the front-side source contact may affect the electrical performance of the 3D memory device by introducing both leakage current and parasitic capacitance between the word line and the source contact, even with a spacer therebetween. The formation of spacers also complicates the fabrication process. In addition to affecting electrical performance, the gap structure often includes wall polysilicon and/or metal fill, which may introduce localized stresses, resulting in wafer bow or warp, thereby reducing yield.
Further, in some 3D NAND memory devices, semiconductor plugs are selectively grown to surround sidewalls of channel structures, for example, which are referred to as sidewall Selective Epitaxial Growth (SEG). The formation of the sidewall SEG avoids etching (also known as "SONO" perforation) of the memory film and semiconductor channel at the bottom surface of the channel hole compared to another type of semiconductor plug (e.g., bottom SEG) formed at the lower end of the channel structure, thereby increasing the process window, especially when the 3D NAND memory device is fabricated using advanced techniques, e.g., when having 96 or more levels (levels) in the case of a multi-level architecture. The sidewalls SEG are often formed by replacing the sacrificial layer between the substrate and the stack structure with the sidewalls SEG, which involves a plurality of deposition and etching processes through the slit opening. However, as the level of 3D NAND memory devices continues to increase, the aspect ratio of the slit opening extending through the stack structure becomes larger, making deposition and etching processes through the slit opening more challenging, and undesirable for forming the sidewall SEG using known schemes due to increased cost and reduced yield.
Various embodiments in accordance with the present disclosure provide 3D memory devices with backside source contacts. By moving the source contact from the front side to the back side, the cost per memory cell can be reduced because the effective memory cell array area can be increased and the spacer formation process can be skipped. Device performance can also be improved, for example, by avoiding leakage currents and parasitic capacitances between the word line and the source contact, and by reducing localized stresses caused by the front side gap structure (as the source contact). The sidewalls SEG (e.g., semiconductor plugs) may be formed from the back side of the substrate to avoid any deposition or etching process at the front side of the substrate through openings extending through the stack structure. Therefore, complexity and cost of the manufacturing process can be reduced, and productivity can be improved. Moreover, the scalability of the 3D memory device can also be improved, since the fabrication process of the sidewall SEG is no longer affected by the aspect ratio of the opening through the stack structure, i.e. not limited by the level of the memory stack.
In some embodiments, the substrate on which the storage stack is formed is removed from the backside, thereby exposing the channel structure prior to forming the sidewall SEG. Thus, the choice of substrate may be extended to, for example, pseudo wafers to reduce cost, or to silicon-on-insulator (SOI) wafers to simplify fabrication processes. Removal of the substrate can also avoid the challenging problem of thickness uniformity control in known methods using backside thinning processes.
Various 3D memory device architectures and methods of making the same are disclosed in this disclosure, for example, they have different erase operation mechanisms to accommodate different requirements and applications. In some embodiments, the sidewall SEG is part of an N-doped semiconductor layer to enable gate-induced-drain-leakage (GIDL) erase by a 3D memory device. In some embodiments, the sidewall SEG is part of a P-type doped semiconductor layer to enable P-well bulk erase (bulk erase) by a 3D memory device.
Fig. 1 illustrates a side view of a cross section of an exemplary 3D memory device 100, according to some embodiments of the present disclosure. In some embodiments, the 3D memory device 100 is a bonded chip including a first semiconductor structure 102 and a second semiconductor structure 104 stacked over the first semiconductor structure 102. According to some embodiments, the first semiconductor structure 102 and the second semiconductor structure 104 are joined at a bonding interface 106 therebetween. As shown in fig. 1, the first semiconductor structure 102 may include a substrate 101, which substrate 101 may include silicon (e.g., single crystal silicon, c-Si), silicon germanium (SiGe), gallium arsenide (GaAs), germanium (Ge), SOI, or any other suitable material.
The first semiconductor structure 102 of the 3D memory device 100 may include peripheral circuitry 108 on the substrate 101. It should be noted that the x-axis and y-axis are included in fig. 1 to further illustrate the spatial relationship of components in the 3D memory device 100 with the substrate 101. The substrate 101 includes two lateral surfaces (e.g., a top surface and a bottom surface) extending laterally in the x-direction (i.e., lateral direction). As used herein, when a substrate is in the lowest plane of a semiconductor device in the y-direction, whether one component (e.g., layer or device) of the semiconductor device (e.g., 3D memory device 100) is "on", "above" or "below" another component (e.g., layer or device) is determined relative to the substrate (e.g., substrate 101) of the semiconductor device in the y-direction (i.e., vertical direction). The spatial relationships will be described throughout this disclosure using the same concepts.
In some embodiments, the peripheral circuitry 108 is configured to control and sense the 3D memory device 100. Peripheral circuitry 108 may be any suitable digital, analog, and/or mixed signal control and sensing circuitry for facilitating operation of 3D memory device 100 including, but not limited to, page buffers, decoders (e.g., row and column decoders), sense amplifiers, drivers (e.g., word line drivers), charge pumps, current or voltage references, or any active or passive component of the circuitry (e.g., transistors, diodes, resistors, or capacitors). The peripheral circuitry 108 may include transistors formed "on" the substrate 101, wherein all or part of the transistors are formed in the semiconductor layer 101 (e.g., below the top surface of the substrate 101) and/or directly on the substrate 101. Isolation regions (e.g., shallow Trench Isolation (STI)) and doped regions (e.g., source and drain regions of a transistor) may also be formed in the substrate 101. According to some embodiments, transistors are high speed by means of advanced logic processes (e.g., technology nodes of 90nm, 65nm, 45nm, 32nm, 28nm, 20nm, 16nm, 14nm, 10nm, 7nm, 5nm, 3nm, 2nm, etc.). It should be appreciated that in some embodiments, the peripheral circuitry 108 may further include any other circuitry compatible with advanced logic processes, including logic circuits such as processors and Programmable Logic Devices (PLDs) or memory circuits such as Static Random Access Memory (SRAM) and Dynamic RAM (DRAM).
In some embodiments, the first semiconductor structure 102 of the 3D memory device 100 further includes an interconnect layer (not shown) above the peripheral circuitry 108 to pass electrical signals to and from the peripheral circuitry 108. The interconnect layer may include a plurality of interconnects (also referred to herein as "contacts"), including lateral interconnect lines and vertical interconnect access (via) contacts. As used herein, the term "interconnect" may broadly include any suitable type of interconnect, such as a medium process (MEOL) interconnect and a back-end-of-line (BEOL) interconnect. The interconnect layer may further include one or more inter-layer dielectric (ILD) layers (also referred to as "inter-metal dielectric (IMD) layers"), in which the interconnect lines and via contacts may be formed. That is, the interconnect layer may include interconnect lines and via contacts in multiple ILD layers. The interconnect lines and via contacts in the interconnect layer may comprise a conductive material including, but not limited to, tungsten (W), cobalt (Co), copper (Cu), aluminum (Al), silicide, or any combination thereof. ILD layers in the interconnect layers may comprise dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low dielectric constant (low-k) dielectrics, or any combination thereof.
As shown in fig. 1, the first semiconductor structure 102 of the 3D memory device 100 may further include a bonding layer 110 at the bonding interface 106 and above the interconnect layer and the peripheral circuitry 108. The bonding layer 110 may include a plurality of bonding contacts 111 and a dielectric electrically isolating the bonding contacts 111. The bonding contacts 111 may comprise a conductive material including, but not limited to W, co, cu, al, silicide, or any combination thereof. The remaining regions of the bonding layer 110 may be formed using dielectrics including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k dielectrics, or any combination thereof. The bonding contacts 111 and surrounding dielectric in the bonding layer 110 may be used for hybrid bonding.
Similarly, as shown in fig. 1, the second semiconductor structure 104 of the 3D memory device 100 may also include a bonding layer 112 at the bonding interface 106 and above the bonding layer 110 of the first semiconductor structure 102. The bonding layer 112 may include a plurality of bonding contacts 113 and a dielectric electrically isolating the bonding contacts 113. The bonding contacts 113 may comprise a conductive material including, but not limited to W, co, cu, al, silicide, or any combination thereof. The remaining regions of the bonding layer 112 may be formed using dielectrics including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k dielectrics, or any combination thereof. The bond contacts 113 in the bond layer 112 and the surrounding dielectric may be used for hybrid bonding. According to some embodiments, the bonding contact 113 is in contact with the bonding contact 111 at the bonding interface 106.
As described in detail below, the second semiconductor structure 104 may be bonded in a face-to-face fashion atop the first semiconductor structure 102 at a bonding interface 106. In some embodiments, the bonding interface 106 is disposed between the bonding layer 110 and the bonding layer 112 as a result of hybrid bonding (also referred to as "metal/dielectric hybrid bonding"), which is a direct bonding technique (e.g., bonding between surfaces without the use of an intermediate layer such as solder or adhesive), and metal-to-metal bonding and dielectric-to-dielectric bonding may be achieved simultaneously. In some embodiments, bonding interface 106 is where bonding layer 112 and bonding layer 110 meet and bond. In practice, the bonding interface 106 may be a layer having a thickness that includes a top surface of the bonding layer 110 of the first semiconductor structure 102 and a bottom surface of the bonding layer 112 of the second semiconductor structure 104.
In some embodiments, the second semiconductor structure 104 of the 3D memory device 100 further includes an interconnect layer (not shown) over the bonding layer 112 to pass electrical signals. The interconnect layer may include a plurality of interconnects, such as MEOL interconnects and BEOL interconnects. The interconnect layer may further include one or more ILD layers in which the interconnect lines and via contacts may be formed. The interconnect lines and via contacts in the interconnect layer may comprise conductive materials including, but not limited to W, co, cu, al, silicide, or any combination thereof. ILD layers in the interconnect layers may comprise dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k dielectrics, or any combination thereof.
In some embodiments, 3D memory device 100 is a NAND flash memory device in which the memory cells are provided in the form of an array of NAND memory strings. As shown in fig. 1, the second semiconductor structure 104 of the 3D memory device 100 may include an array of channel structures 124 that function as an array of NAND memory strings. As shown in fig. 1, each channel structure 124 may extend vertically through a plurality of pairs, each pair including a conductive layer 116 and a dielectric layer 118. Alternating conductive layers 116 and dielectric layers 118 are part of memory stack 114. The number of pairs of conductive layers 116 and dielectric layers 118 (e.g., 32, 64, 96, 128, 160, 192, 224, 256, or more) in memory stack 114 determines the number of memory cells in 3D memory device 100. It should be appreciated that in some embodiments, the storage stack 114 may have a multi-constituent level architecture (not shown) that includes multiple storage constituent levels stacked one on top of the other. The number of pairs of conductive layers 116 and dielectric layers 118 in each storage formation level may be the same or different.
The memory stack 114 may include a plurality of alternating conductive layers 116 and dielectric layers 118. Conductive layers 116 and dielectric layers 118 in memory stack 114 may alternate in a vertical direction. In other words, each conductive layer 116 may be adjacent to two dielectric layers 118 on both sides, and each dielectric layer 118 may be adjacent to two conductive layers 116 on both sides, except for the layers at the top or bottom of the storage stack 114. The conductive layer 116 may comprise a conductive material including, but not limited to W, co, cu, al, polysilicon, doped silicon, silicide, or any combination thereof. Each conductive layer 116 may include a gate electrode (gate line) and a gate dielectric layer surrounded by an adhesive layer. The gate electrode of conductive layer 116 may extend laterally as a word line ending at one or more stepped structures of memory stack 114. Dielectric layer 118 may comprise a dielectric material including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, or any combination thereof.
As shown in fig. 1, the second semiconductor structure 104 of the 3D memory device 100 may further include an N-type doped semiconductor layer 120 above the memory stack 114. The N-type doped semiconductor layer 120 may be an example of a "sidewall SEG" as described above. The N-type doped semiconductor layer 120 may include a semiconductor material, for example, silicon. In some embodiments, the N-doped semiconductor layer 120 comprises polysilicon formed by a deposition technique, as described in detail below. In some embodiments, the N-doped semiconductor layer 120 comprises single crystal silicon, e.g., a device layer of an SOI wafer, as described in detail below. The N-type doped semiconductor layer 120 may be doped with any suitable N-type dopant, such as phosphorus (P), arsenic (Ar), or antimony (Sb), which contribute free electrons and increase the conductivity of the intrinsic semiconductor. For example, the N-type doped semiconductor layer 120 may be a polysilicon layer doped with an N-type dopant such as P, ar or Sb. In some embodiments, the N-type doped semiconductor layer 120 is a single polysilicon layer with a uniform doping concentration profile in the vertical direction, as opposed to having multiple polysilicon sublayers with non-uniform doping concentrations at their interfaces (e.g., abrupt doping concentration changes at the interface between the two sublayers). It should be appreciated that the doping concentration of the N-type dopant of the N-type doped semiconductor layer 120 may still be gradually changed in the vertical direction, as long as there is no abrupt doping concentration change that can distinguish between two or more sub-layers according to the doping concentration change.
In some embodiments, each channel structure 124 includes a channel hole filled with a semiconductor layer (e.g., as semiconductor channel 128) and a composite dielectric layer (e.g., as storage film 126). In some embodiments, semiconductor channel 128 comprises silicon, such as amorphous silicon, polysilicon, or single crystal silicon.
In some embodiments, the storage film 126 is a composite layer including a tunneling layer, a storage layer (also referred to as a "charge trapping layer"), and a blocking layer. The remaining space of the channel structure 124 may be partially or entirely filled with a capping layer comprising a dielectric material (e.g., silicon oxide) and/or an air gap. The channel structure 124 may have a cylindrical shape (e.g., a pillar shape). According to some embodiments, the capping layer, semiconductor channel 128, and tunneling layer, memory layer, and barrier layer of memory film 126 are arranged in this order radially from the middle of the pillar to the outer surface of the pillar. The tunneling layer may include silicon oxide, silicon oxynitride, or any combination thereof. The memory layer may comprise silicon nitride, silicon oxynitride, silicon, or any combination thereof. The barrier layer may comprise silicon oxide, silicon oxynitride, a high-k dielectric, or any combination thereof. In one example, the memory film 126 may include a silicon oxide/silicon oxynitride/silicon oxide (ONO) composite layer.
In some embodiments, the channel structure 124 further includes a channel plug 129 in a bottom portion (e.g., at a lower end) of the channel structure 124. As used herein, the "upper end" of a component (e.g., channel structure 124) is the end farther from the substrate 101 in the y-direction and the "lower end" of a component (e.g., channel structure 124) is the end closer to the substrate 101 in the y-direction when the substrate 101 is placed in the lowest plane of the 3D memory device 100. The channel plug 129 may include a semiconductor material (e.g., polysilicon). In some embodiments, channel plug 129 functions as the drain of the NAND memory string.
As shown in fig. 1, each channel structure 124 may extend vertically through alternating conductive layers 116 and dielectric layers 118 of the memory stack 114 into the N-doped semiconductor layer 120. The upper end of each channel structure 124 may be flush with or below the top surface of the N-type doped semiconductor layer 120. That is, according to some embodiments, the channel structure 124 does not extend beyond the top surface of the N-doped semiconductor layer 120. In some embodiments, the upper end of the memory film 126 is below the upper end of the semiconductor channel 128 in the channel structure 124, as shown in fig. 1. In some embodiments, the upper end of the memory film 126 is below the top surface of the N-doped semiconductor layer 120, and the upper end of the semiconductor channel 128 is flush with or below the top surface of the N-doped semiconductor layer 120. For example, as shown in fig. 1, the memory film 126 may terminate at a bottom surface of the N-doped semiconductor layer 120, and the semiconductor channel 128 may extend above the bottom surface of the N-doped semiconductor layer 120, such that the N-doped semiconductor layer 120 may surround a top portion 127 of the semiconductor channel 128 that extends into the N-doped semiconductor layer 120 and is in contact with the top portion 127. In some embodiments, the doping concentration of the top portion 127 of the semiconductor channel 128 that extends into the N-doped semiconductor layer 120 is different than the doping concentration of the remaining portion of the semiconductor channel 128. For example, semiconductor channel 128 may include undoped polysilicon in addition to top portion 127, and top portion 127 may include doped polysilicon to increase conductivity thereof when forming an electrical connection with surrounding N-type doped semiconductor layer 120.
In some embodiments, the N-doped semiconductor layer 120 includes semiconductor plugs 122, each surrounding a top portion 127 of a respective semiconductor channel 128 of the channel structure 124 extending into the N-doped semiconductor layer 120 and in contact with the top portion 127. According to some embodiments, the semiconductor plug 122 comprises doped polysilicon, for example, N-type doped polysilicon. The doping concentration of the semiconductor plug 122 may be different from the doping concentration of the remaining portion of the N-type doped semiconductor layer 120 because the semiconductor plug 122 may be formed in a later process after the formation of the remaining portion of the N-type doped semiconductor layer 120, as described in detail below. In some embodiments, semiconductor plug 122 comprises polysilicon (e.g., N-doped polysilicon) and the remainder of N-doped semiconductor layer 120 comprises monocrystalline silicon (e.g., N-doped monocrystalline silicon). In some embodiments, semiconductor plug 122 comprises polysilicon (e.g., N-doped polysilicon) and the remainder of N-doped semiconductor layer 120 comprises polysilicon (e.g., N-doped polysilicon), but at a different doping concentration than semiconductor plug 122.
Each semiconductor plug 122 may surround and contact a sidewall of a top portion 127 of a corresponding semiconductor channel 128. Thus, the semiconductor plug 122 in the N-doped semiconductor layer 120 may function as a "sidewall SEG (e.g., semiconductor plug)" of the channel structure 124 instead of a "bottom SEG (e.g., semiconductor plug)". Furthermore, as described in detail below, formation of semiconductor plugs 122 occurs on opposite sides of memory stack 114, which may avoid any deposition or etching process through openings extending through memory stack 114, thereby reducing fabrication complexity and cost and improving yield and vertical scalability. Depending on the relative position of the upper end of the semiconductor channel 128 of each channel structure 124 with respect to the top surface of the N-doped semiconductor layer 120, the semiconductor plug 122 may also be formed above and in contact with the upper end of the semiconductor channel 128, for example, when the upper end of the semiconductor channel 128 is below the top surface of the N-doped semiconductor layer 120, as shown in fig. 1. It should be appreciated that in other examples where the upper end of semiconductor channel 128 is flush with the top surface of N-doped semiconductor layer 120, semiconductor plug 122 may be formed to surround and contact only the sidewalls of top portion 127 of semiconductor channel 128.
However, the N-doped semiconductor layer 120 surrounding the top portion 127 of the semiconductor channel 128 of the channel structure 124 with the semiconductor plug 122 (e.g., as a sidewall SEG) enables GIDL-assisted body biasing for erase operations of the 3D memory device 100. GIDL surrounding the source select gate of a NAND memory string can generate hole current flowing into the NAND memory string to raise the bulk potential for performing erase operations.
As shown in fig. 1, the second semiconductor structure 104 of the 3D memory device 100 may further include insulating structures 130, each insulating structure 130 extending vertically through alternating conductive layers 116 and dielectric layers 118 of the memory stack 114. According to some embodiments, the insulating structure 130 stops at the bottom surface of the N-doped semiconductor layer 120, i.e., does not extend vertically into the N-doped semiconductor layer 120, unlike the channel structure 124 that extends further into the N-doped semiconductor layer 120. That is, the top surface of the insulating structure 130 may be flush with the bottom surface of the N-type doped semiconductor layer 120. Each insulating structure 130 may also extend laterally to divide the channel structure 124 into a plurality of blocks. That is, the memory stack 114 may be divided into a plurality of memory blocks by the insulating structure 130 so that the array of channel structures 124 can be divided into each memory block. Unlike the slit structure including the front ACS contact in the prior 3d nand memory device described above, according to some embodiments, the insulating structure 130 does not include any contacts therein (i.e., does not function as source contacts) and, therefore, does not introduce parasitic capacitance and leakage current with the conductive layer 116 (including the word line). In some embodiments, each insulating structure 130 includes an opening (e.g., a slit) filled with one or more dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, or any combination thereof. In one example, each insulating structure 130 may be filled with silicon oxide.
Further, as described in detail below, since the opening used to form the insulating structure 130 is not used to form the N-doped semiconductor layer 120 and the semiconductor plug 122 therein (e.g., sidewall SEG), the increased aspect ratio of the opening will not affect the formation of the N-doped semiconductor layer 120 and the semiconductor plug 122 therein as the number of alternating conductive layers 116 and dielectric layers 118 increases.
The 3D memory device 100 may not include a front side source contact, but may include a back side source contact 132 above the memory stack 114 and in contact with the N-doped semiconductor layer 120, as shown in fig. 1. The source contact 132 and the storage stack 114 (and the insulating structure 130 therethrough) may be disposed on opposite sides of the N-doped semiconductor layer 120 and thus be considered a "backside" source contact. In some embodiments, the source contact 132 is electrically connected to the semiconductor channel 128 of the channel structure 124 through the semiconductor plug 122 of the N-doped semiconductor layer 120. In some embodiments, the source contact 132 is not laterally aligned with the insulating structure 130, but is in close proximity to the channel structure 124 to shorten the resistance of the electrical connection therebetween. For example, source contact 132 may be laterally between insulating structure 130 and channel structure 124 (e.g., in the x-direction in fig. 1). Source contact 132 may comprise any suitable type of contact. In some embodiments, source contact 132 comprises a via contact. In some embodiments, source contact 132 comprises a laterally extending wall contact. The source contact 132 may include one or more conductive layers, such as a metal layer (e.g., W, co, cu, or Al) or a silicide layer surrounded by an adhesive layer (e.g., titanium nitride (TiN)).
As shown in fig. 1, the 3D memory device 100 may further include a BEOL interconnect layer 133 over the source contact 132 and electrically connected to the source contact 132 to enable pad extraction, e.g., to transfer electrical signals between the 3D memory device 100 and external circuitry. In some embodiments, interconnect layer 133 includes one or more ILD layers 134 over N-type doped semiconductor layer 120 and a redistribution layer 136 over ILD layers 134. According to some embodiments, the upper ends of source contacts 132 are flush with the top surface of ILD layer 134 and the bottom surface of redistribution layer 136, and source contacts 132 extend vertically through ILD layer 134 into N-doped semiconductor layer 120. ILD layer 134 in interconnect layer 133 may comprise a dielectric material including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, a low-k dielectric, or any combination thereof. The redistribution layer 136 in the interconnect layer 133 may comprise a conductive material including, but not limited to W, co, cu, al, a silicide, or any combination thereof. In one example, the redistribution layer 136 includes Al. In some embodiments, the interconnect layer 133 further includes a passivation layer 138 as an outermost layer for passivation and protection of the 3D memory device 100. Portions of the redistribution layer 136 may be exposed from the passivation layer 138 to act as contact pads 140. That is, the interconnect layer 133 of the 3D memory device 100 may further include contact pads 140 for wire bonding and/or bonding with interposers.
In some embodiments, the second semiconductor structure 104 of the 3D memory device 100 further includes contacts 142 and 144 through the N-doped semiconductor layer 120. According to some embodiments, contacts 142 and 144 are Through Silicon Contacts (TSCs) because N-doped semiconductor layer 120 may be a thinned substrate, such as a device layer of an SOI wafer. In some embodiments, contacts 142 extend through N-doped semiconductor layer 120 and ILD layer 134 to contact redistribution layer 136 such that N-doped semiconductor layer 120 is electrically connected to contacts 142 through source contacts 132 and redistribution layer 136 of interconnect layer 133. In some embodiments, contacts 144 extend through N-doped semiconductor layer 120 and ILD layer 134 to make contact with contact pads 140. Contacts 142 and 144 may each include one or more conductive layers, such as a metal layer (e.g., W, co, cu, or Al) or a silicide layer surrounded by an adhesive layer (e.g., tiN). In some embodiments, at least the contact 144 further includes a spacer (e.g., a dielectric layer), thereby electrically isolating the contact 144 from the N-doped semiconductor layer 120.
In some embodiments, 3D memory device 100 further includes peripheral contacts 146 and 148, each of which extends vertically out of memory stack 114. Each peripheral contact 146 or 148 may have a depth greater than the memory stack 114 to extend vertically from the bonding layer 112 to the N-doped semiconductor layer 120 in a peripheral region outside of the memory stack 114. In some embodiments, peripheral contact 146 is below contact 142 and is in contact with contact 142 such that N-doped semiconductor layer 120 is electrically connected to peripheral circuitry 108 in first semiconductor structure 102 through at least source contact 132, interconnect layer 133, contact 142, and peripheral contact 146. In some embodiments, the peripheral contact 148 is below the contact 144 and is in contact with the contact 144 such that the peripheral circuitry 108 in the first semiconductor structure 102 is electrically connected to the contact pad 140 for pad extraction through at least the contact 144 and the peripheral contact 148. The peripheral contacts 146 and the contacts 148 may each include one or more conductive layers, such as a metal layer (e.g., W, co, cu, or Al) or a silicide layer surrounded by an adhesive layer (e.g., tiN).
As shown in fig. 1, the 3D memory device 100 also includes various local contacts (also referred to as "C1") as part of the interconnect structure that directly contact the structures in the memory stack 114. In some embodiments, these local contacts include channel local contacts 150, each of which is below and in contact with the lower end of a respective channel structure 124. Each channel local contact 150 may be electrically connected to a bit line contact (not shown) to implement bit line fanout (fan-out). In some embodiments, the local contacts further include word line local contacts 152, each of which is below a respective conductive layer 116 (including a word line) at the stepped structure of the storage stack 114 and in contact with the conductive layer 116 for word line fanout. Local contacts, such as channel local contact 150 and word line local contact 152, may be electrically connected to peripheral circuitry 108 of first semiconductor structure 102 at least through bonding layers 112 and 110. The local contacts, such as channel local contact 150 and word line local contact 152, may each include one or more conductive layers, such as a metal layer (e.g., W, co, cu, or Al) or a silicide layer surrounded by an adhesive layer (e.g., tiN).
Fig. 2 illustrates a side view of a cross section of another exemplary 3D memory device 200, according to some embodiments of the present disclosure. In some embodiments, the 3D memory device 200 is a bonded chip including a first semiconductor structure 202 and a second semiconductor structure 204 stacked over the first semiconductor structure 202. According to some embodiments, the first semiconductor structure 202 and the second semiconductor structure 204 are coupled at a bonding interface 206 therebetween. As shown in fig. 2, the first semiconductor structure 202 may include a substrate 201, and the substrate 201 may include silicon (e.g., single crystal silicon, c-Si), siGe, gaAs, ge, SOI, or any other suitable material.
The first semiconductor structure 202 of the 3D memory device 200 may include peripheral circuitry 208 on the substrate 201. In some embodiments, the peripheral circuitry 208 is configured to control and sense the 3D memory device 200. Peripheral circuitry 208 may be any suitable digital, analog, and/or mixed signal control and sensing circuitry for facilitating operation of 3D memory device 200 including, but not limited to, page buffers, decoders (e.g., row and column decoders), sense amplifiers, drivers (e.g., word line drivers), charge pumps, current or voltage references, or any active or passive component of the circuitry (e.g., transistors, diodes, resistors, or capacitors). The peripheral circuitry 208 may include transistors formed "on" the substrate 201, wherein all or a portion of the transistors are formed in the semiconductor layer 201 (e.g., below a top surface of the substrate 201) and/or directly on the substrate 201. Isolation regions (e.g., shallow Trench Isolation (STI)) and doped regions (e.g., source and drain regions of a transistor) may also be formed in the substrate 201. According to some embodiments, transistors are high speed by means of advanced logic processes (e.g., technology nodes of 90nm, 65nm, 45nm, 32nm, 28nm, 20nm, 16nm, 14nm, 10nm, 7nm, 5nm, 3nm, 2nm, etc.). It should be appreciated that in some embodiments, peripheral circuitry 208 may further include any other circuitry compatible with advanced logic processes, including logic circuits such as processors and PLDs, or memory circuits such as SRAMs and DRAMs.
In some embodiments, the first semiconductor structure 202 of the 3D memory device 200 further includes an interconnect layer (not shown) over the peripheral circuitry 208 to pass electrical signals to and from the peripheral circuitry 208. The interconnect layer may include a plurality of interconnects (also referred to herein as "contacts"), including lateral interconnect lines and via contacts. As used herein, the term "interconnect" may broadly include any suitable type of interconnect, such as MEOL interconnects and BEOL interconnects. The interconnect layer may further include one or more ILD layers (also referred to as "IMD layers") in which the interconnect lines and via contacts may be formed. That is, the interconnect layer may include interconnect lines and via contacts in multiple ILD layers. The interconnect lines and via contacts in the interconnect layer may comprise conductive materials including, but not limited to W, co, cu, al, silicide, or any combination thereof. ILD layers in the interconnect layers may comprise dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k dielectrics, or any combination thereof.
As shown in fig. 2, the first semiconductor structure 202 of the 3D memory device 200 may further include a bonding layer 210 at the bonding interface 206 and above the interconnect layer and peripheral circuitry 208. The bonding layer 210 may include a plurality of bonding contacts 211 and a dielectric electrically isolating the bonding contacts 211. The bonding contacts 211 may comprise a conductive material including, but not limited to W, co, cu, al, silicide, or any combination thereof. The remaining regions of the bonding layer 210 may be formed using dielectrics including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, a low-k dielectric, or any combination thereof. The bond contacts 211 in the bond layer 210 and the surrounding dielectric may be used for hybrid bonding.
Similarly, as shown in fig. 2, the second semiconductor structure 204 of the 3D memory device 200 may further include a bonding layer 212 at the bonding interface 206 and above the bonding layer 210 of the first semiconductor structure 202. The bonding layer 212 may include a plurality of bonding contacts 213 and a dielectric that electrically isolates the bonding contacts 213. The bond contacts 213 may include conductive materials including, but not limited to W, co, cu, al, silicide, or any combination thereof. The remaining regions of the bonding layer 212 may be formed using dielectrics including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, a low-k dielectric, or any combination thereof. The bond contacts 213 in the bond layer 212 and the surrounding dielectric may be used for hybrid bonding. According to some embodiments, bond contact 213 is in contact with bond contact 211 at bond interface 206.
As described in detail below, the second semiconductor structure 204 may be bonded in a face-to-face fashion atop the first semiconductor structure 202 at a bonding interface 206. In some embodiments, the bonding interface 206 is disposed between the bonding layer 210 and the bonding layer 212 as a result of hybrid bonding (also referred to as "metal/dielectric hybrid bonding"), which is a direct bonding technique (e.g., bonding between surfaces without the use of an intermediate layer such as solder or adhesive), and metal-to-metal bonding and dielectric-to-dielectric bonding may be achieved simultaneously. In some embodiments, bonding interface 206 is where bonding layer 212 and bonding layer 210 meet and bond. In practice, the bonding interface 206 may be a layer having a thickness that includes a top surface of the bonding layer 210 of the first semiconductor structure 202 and a bottom surface of the bonding layer 212 of the second semiconductor structure 204.
In some embodiments, the second semiconductor structure 204 of the 3D memory device 200 further includes an interconnect layer (not shown) over the bonding layer 212 to pass electrical signals. The interconnect layer may include a plurality of interconnects, such as MEOL interconnects and BEOL interconnects. The interconnect layer may further include one or more ILD layers in which the interconnect lines and via contacts may be formed. The interconnect lines and via contacts in the interconnect layer may comprise conductive materials including, but not limited to W, co, cu, al, silicide, or any combination thereof. ILD layers in the interconnect layers may comprise dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, low-k dielectrics, or any combination thereof.
In some embodiments, 3D memory device 200 is a NAND flash memory device in which the memory cells are provided in the form of an array of NAND memory strings. As shown in fig. 2, the second semiconductor structure 204 of the 3D memory device 200 may include an array of channel structures 224 that function as an array of NAND memory strings. As shown in fig. 2, each channel structure 224 extends vertically through a plurality of pairs, each pair including a conductive layer 216 and a dielectric layer 218. Alternating conductive layers 216 and dielectric layers 218 are part of the memory stack 214. The number of pairs of conductive layers 216 and dielectric layers 218 (e.g., 32, 64, 96, 128, 160, 192, 224, 256, or more) in the memory stack 214 determines the number of memory cells in the 3D memory device 200. It should be appreciated that in some embodiments, the storage stack 214 may have a multi-constituent level architecture (not shown) that includes a plurality of storage constituent levels arranged one above the other. The number of pairs of conductive layer 216 and dielectric layer 218 in each storage formation stage may be the same or different.
The memory stack 214 may include a plurality of alternating conductive layers 216 and dielectric layers 218. The conductive layers 216 and the dielectric layers 218 in the memory stack 214 may alternate in a vertical direction. In other words, each conductive layer 216 may be adjacent to two dielectric layers 218 on both sides, and each dielectric layer 218 may be adjacent to two conductive layers 216 on both sides, except for the layers at the top or bottom of the storage stack 214. The conductive layer 216 may comprise a conductive material including, but not limited to W, co, cu, al, polysilicon, doped silicon, silicide, or any combination thereof. Each conductive layer 216 may include a gate electrode (gate line) and a gate dielectric layer surrounded by an adhesive layer. The gate electrode of conductive layer 216 may extend laterally as a word line ending at one or more stepped structures of memory stack 214. Dielectric layer 218 may comprise a dielectric material including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, or any combination thereof.
As shown in fig. 2, the second semiconductor structure 204 of the 3D memory device 200 may further include a P-type doped semiconductor layer 220 above the memory stack 114. The P-type doped semiconductor layer 220 may be an example of a "sidewall SEG" as described above. The P-type doped semiconductor layer 220 may include a semiconductor material, for example, silicon. In some embodiments, the P-type doped semiconductor layer 220 comprises polysilicon formed by a deposition technique, as described in detail below. In some embodiments, the P-type doped semiconductor layer 220 comprises single crystal silicon, e.g., a device layer of an SOI wafer, as described in detail below. The P-doped semiconductor layer 220 may be doped with any suitable P-type dopant, such as boron (B), gallium (Ga), or aluminum (Al), to cause the intrinsic semiconductor to create a loss of valence electrons, also referred to as "holes". For example, the P-type doped semiconductor layer 220 may be a polysilicon layer doped with a P-type dopant such as P, ar or Sb. In some embodiments, the P-type doped semiconductor layer 220 is a single polysilicon layer with a uniform dopant concentration profile in the vertical direction, as opposed to having multiple polysilicon sublayers with non-uniform dopant concentrations at their interfaces (e.g., there is a sudden dopant concentration change at the interface between the two sublayers). It should be appreciated that the doping concentration of the P-type dopant of the P-type doped semiconductor layer 220 may still be gradually changed in the vertical direction, as long as there is no abrupt doping concentration change that can distinguish between two or more sub-layers according to the doping concentration change.
In some embodiments, the second semiconductor structure 204 of the 3D memory device 200 further includes an N-well 221 in the P-type doped semiconductor layer 220. The N-well 221 may be doped with any suitable N-type dopant, such as P, ar or Sb, which donate free electrons and increase the conductivity of the intrinsic semiconductor. In some embodiments, the N-well 221 is doped from the bottom surface of the P-doped semiconductor layer 220. It should be appreciated that the N-well 221 may extend vertically throughout the thickness of the P-type doped semiconductor layer 220, i.e., to the top surface of the P-type doped semiconductor layer 220, or throughout portions of the entire thickness of the P-type doped semiconductor layer 220.
In some embodiments, each channel structure 224 includes a channel hole filled with a semiconductor layer (e.g., as semiconductor channel 228) and a composite dielectric layer (e.g., as memory film 226). In some embodiments, semiconductor channel 228 comprises silicon, such as amorphous silicon, polysilicon, or single crystal silicon.
In some embodiments, the storage film 226 is a composite layer including a tunneling layer, a storage layer (also referred to as a "charge trapping layer"), and a blocking layer. The remaining space of channel structure 224 may be partially or entirely filled with a capping layer comprising a dielectric material (e.g., silicon oxide) and/or an air gap. The channel structure 224 may have a cylindrical shape (e.g., a pillar shape). According to some embodiments, the capping layer, the semiconductor channel 228, and the tunneling layer, the memory layer, and the barrier layer of the memory film 226 are arranged in this order radially from the middle of the pillar to the outer surface of the pillar. The tunneling layer may include silicon oxide, silicon oxynitride, or any combination thereof. The memory layer may comprise silicon nitride, silicon oxynitride, silicon, or any combination thereof. The barrier layer may comprise silicon oxide, silicon oxynitride, a high-k dielectric, or any combination thereof. In one example, the memory film 226 may include a silicon oxide/silicon oxynitride/silicon oxide (ONO) composite layer.
In some embodiments, channel structure 224 further includes a channel plug 227 in a bottom portion (e.g., at a lower end) of channel structure 224. As used herein, the "upper end" of a feature (e.g., channel structure 224) is the end farther from the substrate 201 in the y-direction and the "lower end" of a feature (e.g., channel structure 224) is the end closer to the substrate 201 in the y-direction when the substrate 201 is placed in the lowest plane of the 3D memory device 200. The channel plug 227 may include a semiconductor material (e.g., polysilicon). In some embodiments, channel plug 227 functions as the drain of the NAND memory string.
As shown in fig. 2, each channel structure 224 may extend vertically through alternating conductive layers 216 and dielectric layers 218 of the memory stack 214 into the P-type doped semiconductor layer 220. The upper end of each channel structure 224 may be flush with or below the top surface of the P-type doped semiconductor layer 220. That is, according to some embodiments, the channel structure 224 does not extend beyond the top surface of the P-type doped semiconductor layer 220. In some embodiments, the upper end of the memory film 226 is below the upper end of the semiconductor channel 228 in the channel structure 224, as shown in fig. 2. In some embodiments, the upper end of the memory film 226 is below the top surface of the P-doped semiconductor layer 220, and the upper end of the semiconductor channel 228 is flush with or below the top surface of the P-doped semiconductor layer 220. For example, as shown in fig. 2, the memory film 226 may terminate at the bottom surface of the P-type doped semiconductor layer 220, and the semiconductor channel 228 may extend above the bottom surface of the P-type doped semiconductor layer 220, such that the P-type doped semiconductor layer 220 may surround and contact a top portion 229 of the semiconductor channel 228 that extends into the P-type doped semiconductor layer 220. In some embodiments, the doping concentration of the top portion 229 of the semiconductor channel 228 that extends into the P-doped semiconductor layer 220 is different than the doping concentration of the remaining portion of the semiconductor channel 228. For example, semiconductor channel 228 may include undoped polysilicon in addition to top portion 229, and top portion 229 may include doped polysilicon to increase the conductivity thereof when forming an electrical connection with surrounding P-type doped semiconductor layer 220.
In some embodiments, the P-type doped semiconductor layer 220 includes semiconductor plugs 222, each semiconductor plug 222 surrounding a top portion 229 of a respective semiconductor channel 228 of the channel structure 224 extending into the P-type doped semiconductor layer 220 and in contact with the top portion 229. According to some embodiments, the semiconductor plug 222 comprises doped polysilicon, for example, P-type doped polysilicon. The doping concentration of the semiconductor plug 222 may be different from the doping concentration of the remaining portion of the P-type doped semiconductor layer 220 because the semiconductor plug 222 may be formed in a later process after the formation of the remaining portion of the P-type doped semiconductor layer 220, as described in detail below. In some embodiments, semiconductor plug 222 comprises polysilicon (e.g., P-doped polysilicon) and the remainder of P-doped semiconductor layer 220 comprises monocrystalline silicon (e.g., P-doped monocrystalline silicon). In some embodiments, the semiconductor plug 222 comprises polysilicon (e.g., P-doped polysilicon) and the remainder of the P-doped semiconductor layer 220 comprises polysilicon (e.g., P-doped polysilicon), but has a doping concentration that is different from the doping concentration of the semiconductor plug 222.
Each semiconductor plug 222 may surround and contact a sidewall of the top portion 229 of the corresponding semiconductor channel 228. Accordingly, the semiconductor plug 222 in the P-type doped semiconductor layer 220 may function as a "sidewall SEG (e.g., semiconductor plug)" of the channel structure 224 instead of a "bottom SEG (e.g., semiconductor plug)". Furthermore, as described in detail below, formation of semiconductor plugs 222 occurs on opposite sides of storage stack 214, which may avoid any deposition or etching process through openings extending through storage stack 214, thereby reducing fabrication complexity and cost and improving yield and vertical scalability. Depending on the relative position of the upper end of the semiconductor channel 228 of each channel structure 224 with respect to the top surface of the P-type doped semiconductor layer 220, the semiconductor plug 222 may also be formed above and in contact with the upper end of the semiconductor channel 228, for example, when the upper end of the semiconductor channel 228 is below the top surface of the P-type doped semiconductor layer 220, as shown in fig. 2. It should be appreciated that in other examples where the upper end of the semiconductor channel 228 is flush with the top surface of the P-type doped semiconductor layer 220, the semiconductor plug 222 may be formed to surround and contact only the sidewalls of the top portion 229 of the semiconductor channel 228.
However, the P-doped semiconductor layer 220 surrounding the top portion 229 of the semiconductor channel 228 of the channel structure 224 with the semiconductor plug 222 (e.g., as a sidewall SEG) enables a P-well bulk erase operation for the 3D memory device 200. The design of the 3D memory device 200 disclosed herein enables separation of the hole current path and the electron current path for forming an erase operation and a read operation, respectively. In some embodiments, the 3D memory device 200 is configured to form an electron current path between an electron source (e.g., N-well 221) and a semiconductor channel 228 of the channel structure 224 to provide electrons to the NAND memory string when a read operation is performed in accordance with some embodiments. Conversely, 3D memory device 200 is configured to form a hole current path between a hole source (e.g., P-doped semiconductor layer 220) and semiconductor channel 228 of channel structure 224 to provide holes to the NAND memory string when performing a P-well bulk erase operation in accordance with some embodiments.
As shown in fig. 2, the second semiconductor structure 204 of the 3D memory device 200 may further include insulating structures 230, each insulating structure 230 extending vertically through alternating conductive layers 216 and dielectric layers 218 of the memory stack 214. According to some embodiments, the insulating structure 230 stops at the bottom surface of the P-type doped semiconductor layer 220, i.e., does not extend vertically into the P-type doped semiconductor layer 220, unlike the channel structure 224 that extends further into the P-type doped semiconductor layer 220. That is, the top surface of the insulating structure 230 may be flush with the bottom surface of the P-type doped semiconductor layer 220. Each insulating structure 230 may also extend laterally to divide the channel structure 224 into a plurality of blocks. That is, the memory stack 214 may be divided into a plurality of memory blocks by the insulating structure 230 so that the array of channel structures 224 can be divided into each memory block. Unlike the slit structure including the front ACS contact in the prior 3D NAND memory device described above, according to some embodiments, the insulating structure 230 does not include any contacts therein (i.e., does not function as source contacts) and, therefore, does not introduce parasitic capacitance and leakage current with the conductive layer 216 (including the word line). In some embodiments, each insulating structure 230 includes an opening (e.g., a slit) filled with one or more dielectric materials including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, or any combination thereof. In one example, each insulating structure 230 may be filled with silicon oxide.
Further, as described in detail below, since the opening used to form the insulating structure 230 is not used to form the P-type doped semiconductor layer 220 and the semiconductor plug 222 therein (e.g., sidewall SEG), as the number of alternating conductive layers 216 and dielectric layers 218 increases, the increased aspect ratio of the opening will not affect the formation of the P-type doped semiconductor layer 220 and the semiconductor plug 222 therein.
The 3D memory device 100 may not include a front-side source contact, but may include rear-side source contacts 231 and 232 above the memory stack 214 and in contact with the N-well 221 and the P-type doped semiconductor layer 220, respectively, as shown in fig. 1. Source contacts 231 and 232 and memory stack 214 (and insulating structure 230 therethrough) may be disposed on opposite sides of P-type doped semiconductor layer 220 and are thus considered "back side" source contacts. In some embodiments, a source contact 232 in contact with the P-doped semiconductor layer 220 is electrically connected to the semiconductor channel 228 of the channel structure 224 through the semiconductor plug 222 of the P-doped semiconductor layer 220. In some embodiments, the source contact 231 in contact with the N-well 221 is electrically connected to the semiconductor channel 228 of the channel structure 224 through the semiconductor plug 222 of the P-doped semiconductor layer 220. In some embodiments, the source contact 232 is not laterally aligned with the insulating structure 230 and is proximate to the channel structure 224 to reduce the resistance of the electrical connection therebetween. It should be appreciated that although source contact 231 is laterally aligned with insulating structure 230 as shown in fig. 2, in some examples source contact 231 may not be laterally aligned with insulating structure 230, but rather be proximate to channel structure 224 (e.g., laterally between insulating structure 230 and channel structure 224) to also reduce the resistance of the electrical connection therebetween. As described above, the source contacts 231 and 232 may be used to separately control the electron current and the hole current during a read operation and during an erase operation, respectively. Source contacts 231 and 232 may comprise any suitable type of contacts. In some embodiments, source contacts 231 and 232 comprise via contacts. In some embodiments, source contacts 231 and 232 comprise laterally extending wall contacts. The source contacts 231 and 232 may include one or more conductive layers, such as a metal layer (e.g., W, co, cu, or Al) or a silicide layer surrounded by an adhesive layer (e.g., titanium nitride (TiN)).
As shown in fig. 2, the 3D memory device 100 may further include a BEOL interconnect layer 233 over the source contacts 231 and 232 and electrically connected to the source contacts 231 and 232 to enable pad extraction, e.g., to transfer electrical signals between the 3D memory device 200 and external circuitry. In some embodiments, interconnect layer 233 includes one or more ILD layers 234 on P-type doped semiconductor layer 220 and a redistribution layer 236 on ILD layers 234. The upper end of the source contact 231 or 232 is flush with the top surface of the ILD layer 234 and the bottom surface of the redistribution layer 236. Source contacts 231 and 232 may be electrically separated by ILD layer 234. In some embodiments, the source contact 232 extends vertically through the ILD layer 234 into the P-doped semiconductor layer 220 to make electrical connection with the P-doped semiconductor layer 220. In some embodiments, source contact 231 extends vertically through ILD layer 234 and P-doped semiconductor layer 220 into N-well 221 to make electrical connection with the N-well. The source contact 231 includes a spacer (e.g., a dielectric layer) around its sidewalls to be electrically isolated from the P-type doped semiconductor layer 220. Redistribution layer 236 may include two electrically isolated interconnects: a first interconnect 236-1 in contact with the source contact 232 and a second interconnect 236-2 in contact with the source contact 231.
ILD layer 234 in interconnect layer 233 may comprise a dielectric material including, but not limited to, silicon oxide, silicon nitride, silicon oxynitride, a low-k dielectric, or any combination thereof. The redistribution layer 236 in the interconnect layer 233 may comprise a conductive material including, but not limited to W, co, cu, al, silicide, or any combination thereof. In one example, the redistribution layer 236 includes Al. In some embodiments, the interconnect layer 233 further includes a passivation layer 238 as an outermost layer for passivation and protection of the 3D memory device 200. Portions of the redistribution layer 236 may be exposed from the passivation layer 238 to act as contact pads 240. That is, the interconnect layer 233 of the 3D memory device 200 may further include contact pads 240 for wire bonding and/or bonding with interposers.
In some embodiments, the second semiconductor structure 204 of the 3D memory device 200 further includes contacts 242, 243, and 244 through the P-type doped semiconductor layer 220. According to some embodiments, contacts 242, 243, and 244 are TSCs because P-type doped semiconductor layer 220 may be a thinned substrate, such as a device layer of an SOI wafer. In some embodiments, contacts 242 extend through P-type doped semiconductor layer 220 and ILD layer 234 to contact first interconnect 236-1 of redistribution layer 236 such that P-type doped semiconductor layer 220 is electrically connected to contacts 242 through source contacts 232 and first interconnect 236-1 of interconnect layer 233. In some embodiments, contact 243 extends through P-doped semiconductor layer 220 and ILD layer 234 to contact second interconnect 236-2 of redistribution layer 236 such that N-well 221 is electrically connected to contact 243 through source contact 231 and second interconnect 236-2 of interconnect layer 233. In some embodiments, contacts 244 extend through the P-doped semiconductor layer 220 and ILD layer 234 to make contact with contact pads 240. Contacts 242, 243, and 244 may each include one or more conductive layers, such as a metal layer (e.g., W, co, cu, or Al) or a silicide layer surrounded by an adhesive layer (e.g., tiN). In some embodiments, at least contacts 243 and 244 each further include a spacer (e.g., a dielectric layer) to electrically separate contacts 243 and 244 from P-type doped semiconductor layer 220.
In some embodiments, 3D memory device 200 further includes peripheral contacts 246, 247, and 248, each of which extends vertically out of storage stack 214. Each peripheral contact 246, 247, or 248 may have a depth greater than the depth of the storage stack 214 to extend vertically from the bonding layer 212 to the P-doped semiconductor layer 220 in a peripheral region outside of the storage stack 214. In some embodiments, peripheral contact 246 is below contact 242 and in contact with contact 242 such that P-doped semiconductor layer 220 is electrically connected to peripheral circuitry 208 in first semiconductor structure 202 through at least source contact 232, first interconnect 236-1 of interconnect layer 233, contact 242, and peripheral contact 246. In some embodiments, peripheral contact 247 is below contact 243 and in contact with contact 243 such that N-well 221 is electrically connected to peripheral circuitry 208 in first semiconductor structure 202 at least through source contact 231, second interconnect 236-2 of interconnect layer 233, contact 243, and peripheral contact 247. That is, the electron current and the hole current for the read operation and the erase operation may be separately controlled by the peripheral circuit 208 through different electrical connections. In some embodiments, peripheral contact 248 is below contact 244 and is in contact with contact 244 such that peripheral circuitry 208 in first semiconductor structure 202 is electrically connected to contact pad 240 for pad extraction through at least contact 244 and peripheral contact 248. The peripheral contacts 246, 247, and 248 may each include one or more conductive layers, such as a metal layer (e.g., W, co, cu, or Al) or a silicide layer surrounded by an adhesive layer (e.g., tiN).
As shown in fig. 2, the 3D memory device 200 also includes various local contacts (also referred to as "C1") as part of the interconnect structure that directly contact the structures in the memory stack 214. In some embodiments, the local contacts include channel local contacts 250, each channel local contact 250 being below and in contact with a lower end of a respective channel structure 224. Each channel local contact 250 may be electrically connected to a bit line contact (not shown) for bit line fanout. In some embodiments, the local contacts further include word line local contacts 252, each word line local contact 252 being below a respective conductive layer 216 (including a word line) at the stepped structure of the storage stack 214 and in contact with the conductive layer 216 for word line fanout. Local contacts, such as channel local contact 250 and word line local contact 252, may be electrically connected to peripheral circuitry 208 of first semiconductor structure 202 at least through bonding layers 212 and 210. The local contacts, such as channel local contact 250 and word line local contact 252, may each include one or more conductive layers, such as a metal layer (e.g., W, co, cu, or Al) or a silicide layer surrounded by an adhesive layer (e.g., tiN).
Fig. 3A-3N illustrate a fabrication process for forming an exemplary 3D memory device according to some embodiments of the present disclosure. Fig. 5A illustrates a flowchart of a method 500 for forming an exemplary 3D memory device, according to some embodiments of the present disclosure. Fig. 5B illustrates a flowchart of another method 501 for forming an exemplary 3D memory device, according to some embodiments of the present disclosure. Examples of 3D memory devices depicted in fig. 3A-3N, 5A, and 5B include 3D memory device 100 depicted in fig. 1. Fig. 3A to 3N, fig. 5A and fig. 5B will be described together. It should be understood that the operations shown in methods 500 and 501 are not exclusive and that other operations may be performed before, after, or between any of the operations shown. Further, some of the operations may be performed simultaneously or may be performed in an order different from that shown in fig. 5A and 5B.
Referring to fig. 5A, a method 500 begins at operation 502 in which peripheral circuitry is formed on a first substrate. The first substrate may be a silicon substrate. As shown in fig. 3G, a plurality of transistors are formed on silicon substrate 350 using a variety of processes including, but not limited to, photolithography, etching, thin film deposition, thermal growth, implantation, chemical Mechanical Polishing (CMP), and any other suitable process. In some embodiments, doped regions (not shown) are formed in the silicon substrate 350 by ion implantation and/or thermal diffusion, which function as, for example, source and/or drain regions of a transistor. In some embodiments, isolation regions (e.g., STI) are also formed in the silicon substrate 350 by wet etching and/or dry etching and thin film deposition. The transistors can form peripheral circuitry 352 on a silicon substrate 350.
As shown in fig. 3G, a bonding layer 348 is formed over the peripheral circuit 352. The bonding layer 348 includes bonding contacts that are electrically connected to peripheral circuitry 352. To form the bonding layer 348, the ILD layer is deposited using one or more thin film deposition processes, such as Chemical Vapor Deposition (CVD), physical Vapor Deposition (PVD), atomic Layer Deposition (ALD), or any combination thereof; bond contacts are formed through the ILD layer using wet etching and/or dry etching (e.g., reactive Ion Etching (RIE)), followed by one or more thin film deposition processes (such as ALD, CVD, PVD any other suitable process or any combination thereof).
A channel structure may be formed above the second substrate extending vertically through the memory stack and the N-doped semiconductor layer. The method 500 proceeds to operation 504, as shown in fig. 5A, in which a sacrificial layer on a second substrate, an N-type doped semiconductor layer on the sacrificial layer, and a dielectric stack on the N-type doped semiconductor layer are sequentially formed. The second substrate may be a silicon substrate. It should be appreciated that the second substrate may be part of a pseudo wafer (e.g., carrier substrate) composed of any suitable material, such as glass, sapphire, plastic, silicon, to name a few, as the second substrate will be removed from the final product, to reduce the cost of the second substrate. In some embodiments, the substrate is a carrier substrate, the sacrificial layer comprises a dielectric material, the N-doped semiconductor layer comprises polysilicon, and the dielectric stack comprises alternating stacked dielectric layers and stacked sacrificial layers. In some embodiments, stacked dielectric layers and stacked sacrificial layers are alternately deposited on the N-doped semiconductor layers to form a dielectric stack.
As shown in fig. 3A, a sacrificial layer 304 is formed on a carrier substrate 302, and an N-doped semiconductor layer 306 is formed on the sacrificial layer 304. The N-type doped semiconductor layer 306 may include polysilicon doped with an N-type dopant such as P, as or Sb. The sacrificial layer 304 may comprise any suitable sacrificial material that may be later selectively removed and that is different from the material of the N-doped semiconductor layer 306. In some embodiments, the sacrificial layer 304 comprises a dielectric material, such as silicon oxide or silicon nitride. According to some embodiments, to form the sacrificial layer 304, silicon oxide or silicon nitride is deposited on the carrier substrate 302 using one or more thin film deposition processes including, but not limited to CVD, PVD, ALD or any combination thereof. In some embodiments, to form the N-doped semiconductor layer 306, polysilicon is deposited on the sacrificial layer 304 using one or more thin film deposition processes including, but not limited to CVD, PVD, ALD or any combination thereof, followed by doping the deposited polysilicon with an N-type dopant (such as P, as or Sb) using ion implantation and/or thermal diffusion. In some embodiments, in-situ doping of N-type dopants, such as P, as or Sb, is performed when depositing polysilicon on the sacrificial layer 304 in order to form the N-type doped semiconductor layer 306.
As shown in fig. 3B, a dielectric stack 308 comprising a plurality of pairs of first dielectric layers (referred to herein as "stacked sacrificial layers" 312) and second dielectric layers (referred to herein as "stacked dielectric layers" 310, referred to herein together with the former as "dielectric layer pairs") is formed onto the N-doped semiconductor layer 306. According to some embodiments, dielectric stack 308 includes alternating stacked sacrificial layers 312 and stacked dielectric layers 310. Stacked dielectric layers 310 and stacked sacrificial layers 312 may be alternately deposited on N-doped semiconductor layers 306 above carrier substrate 302 to form dielectric stack 308. In some embodiments, each stacked dielectric layer 310 comprises a silicon oxide layer, and each stacked sacrificial layer 312 comprises a silicon nitride layer. Dielectric stack 308 may be formed by one or more thin film deposition processes including, but not limited to CVD, PVD, ALD or any combination thereof. As shown in fig. 3B, a stepped structure may be formed on the edge of the dielectric stack 308. The stepped structure may be formed by performing a plurality of so-called "trim-etch" cycles on the dielectric layer pairs of the dielectric stack 308 towards the carrier substrate 302. Due to the repeated trim-etch cycles applied to the dielectric layer pairs of the dielectric stack 308, the dielectric stack 308 may have one or more sloped edges and a top dielectric layer pair that is shorter than the bottom dielectric layer pair, as shown in fig. 3B.
The method 500 proceeds to operation 506, as shown in fig. 5A, in which a channel structure is formed extending vertically through the dielectric stack and the N-doped semiconductor layer. In some embodiments, to form the channel structure, a channel hole is etched that extends vertically through the dielectric stack and the N-doped semiconductor layer, stops at the sacrificial layer, and a memory film and a semiconductor channel are deposited sequentially along sidewalls of the channel hole.
As shown in fig. 3B, the channel hole is an opening that extends vertically through the dielectric stack 308 and the N-doped semiconductor layer 306. In some embodiments, a plurality of openings are formed such that each opening becomes a location for growing a separate channel structure 314 in a later process. In some embodiments, the fabrication process for forming the channel holes of channel structure 314 includes wet etching and/or dry etching, e.g., deep RIE (DRIE). The sacrificial layer 304 may act as an etch stop layer for controlling the variation of the slotting between different channel holes (gouging variation). For example, the etching of the channel holes may be stopped by the sacrificial layer 304 without extending further into the carrier substrate 302. That is, according to some embodiments, the lower end of each channel hole (and corresponding channel structure 314) is between the top and bottom surfaces of the sacrificial layer 304.
As shown in fig. 3B, a memory film including a barrier layer 317, a memory layer 316, and a tunneling layer 315, and a semiconductor channel 318 are then formed in the listed order along the sidewall and bottom surfaces of the channel hole. In some embodiments, barrier layer 317, storage layer 316, and tunneling layer 315 are first deposited along the sidewalls and bottom surfaces of the channel holes in the listed order using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof, to form a storage film. Thereafter, semiconductor channel 318 may be formed by depositing a semiconductor material, such as polysilicon (e.g., undoped polysilicon), over tunneling layer 315 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof. In some embodiments, a first silicon oxide layer, a silicon nitride layer, a second silicon oxide layer, and a polysilicon layer ("SONO" structure) are then deposited to form a barrier layer 317, a storage layer 316, and a tunneling layer 315 of the storage film, and a semiconductor channel 318.
As shown in fig. 3B, a cap layer is formed in the channel hole and over the semiconductor channel 318 to completely or partially fill the channel hole (e.g., without or with an air gap). The capping layer may be formed by depositing a dielectric material such as silicon oxide using one or more thin film deposition processes such as ALD, CVD, PVD, any other suitable process, or any combination thereof. Thereafter, a channel plug is formed in a top portion of the channel hole. In some embodiments, the portions of the memory film, semiconductor channel 318, and cap layer on the top surface of dielectric stack 308 are removed by CMP, wet etching, and/or dry etching, and planarized. A recess may then be formed in the top portion of the channel hole by wet and/or dry etching the semiconductor channel 318 and the portion of the cap layer in the top portion of the channel hole. Thereafter, a semiconductor material, such as polysilicon, may be deposited to form the channel plug by one or more thin film deposition processes, such as CVD, PVD, ALD, or any combination thereof. Thereby forming a channel structure 314 through the dielectric stack 308 and the N-doped semiconductor layer 306. Depending on the depth to which the sacrificial layer 304 will stop etching for each channel hole, the channel structure 314 may extend further into the sacrificial layer 304 or stop at the interface between the sacrificial layer 304 and the N-doped semiconductor layer 306. However, the channel structure 314 may not extend further into the carrier substrate 302.
The method 500 proceeds to operation 508, as shown in fig. 5A, in which the dielectric stack is replaced with a memory stack using, for example, a so-called "gate replacement" process, such that the channel structure extends vertically through the memory stack and the N-doped semiconductor layer. In some embodiments, to replace the dielectric stack with a storage stack, an opening is etched that extends vertically through the dielectric stack, stopping at the N-doped semiconductor layer, and through the opening, the stacked sacrificial layer is replaced with a stacked conductive layer to form a storage stack comprising alternating stacked dielectric layers and stacked conductive layers.
As shown in fig. 3C, the slit 320 is an opening that extends vertically through the dielectric stack 308 and stops at the N-doped semiconductor layer 306. In some embodiments, the fabrication process used to form the slit 320 includes wet etching and/or dry etching, e.g., DRIE. Thereafter, a gate replacement may be performed through the slit 320 to replace the dielectric stack 308 with the storage stack 330 (as shown in fig. 3E).
As shown in fig. 3D, lateral recess 322 is first formed by removing stacked sacrificial layer 312 (as shown in fig. 3C) through slit 320. In some embodiments, lateral grooves 322 alternating between stacked dielectric layers 310 are created by removing stacked sacrificial layers 312 by applying an etchant through gaps 320. The etchant may include any suitable etchant that selectively etches the stacked sacrificial layer 312 relative to the stacked dielectric layer 310.
As shown in fig. 3E, stacked conductive layer 328 (including the gate electrode and the adhesive layer) is deposited into lateral grooves 322 (as shown in fig. 3D) through gaps 320. In some embodiments, gate dielectric layer 322 is deposited into lateral recess 322 prior to stacking conductive layer 328 such that stacked conductive layer 328 is deposited over gate dielectric layer 322. The stacked conductive layer 328, such as a metal layer, may be deposited using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof. In some embodiments, a gate dielectric layer 332, such as a high-k dielectric layer, is also formed along the sidewalls of the slit 320 and at the bottom of the slit 320. According to some embodiments, a storage stack 330 comprising alternating stacked conductive layers 328 and stacked dielectric layers 310 is thereby formed in place of dielectric stack 308 (shown in fig. 3D).
The method 500 proceeds to operation 510, as shown in fig. 5A, in which an insulating structure is formed that extends vertically through the storage stack. In some embodiments, to form the insulating structure, after forming the storage stack, one or more dielectric materials are deposited into the opening to fill the opening. As shown in fig. 3E, an insulating structure 336 is formed that extends vertically through the memory stack 330, stopping on the top surface of the N-doped semiconductor layer 306. One or more dielectric materials (e.g., silicon oxide) may be deposited into the gap 320 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof, to completely or partially fill the gap 320 (with or without an air gap), thereby forming the insulating structure 336. In some embodiments, insulating structure 336 includes gate dielectric layer 332 (e.g., comprising a high-k dielectric) and dielectric cap layer 334 (e.g., comprising silicon oxide).
After forming the insulating structure 336, local contacts including channel local contacts 344 and word line local contacts 342, and peripheral contacts 338 and 340 are formed, as shown in fig. 3F. A localized dielectric layer may be formed on the storage stack 330 by depositing a dielectric material (such as silicon oxide or silicon nitride) on top of the storage stack 330 using one or more thin film deposition processes such as CVD, PVD, ALD or any combination thereof. The channel local contact 344, the word line local contact 342, and the peripheral contacts 338 and 340 may be formed using wet and/or dry etching (e.g., RIE) to etch contact openings through the local dielectric layer (and any other ILD layers) followed by filling the contact openings with a conductive material using one or more thin film deposition processes such as ALD, CVD, PVD, any other suitable process, or any combination thereof.
As shown in fig. 3F, a bonding layer 346 is formed over channel local contact 344, word line local contact 342, and peripheral contacts 338 and 340. Bond layer 346 includes bond contacts electrically connected to channel local contact 344, word line local contact 342, and peripheral contacts 338 and 340. To form the bonding layer 346, the ILD layer is deposited using one or more thin film deposition processes such as CVD, PVD, ALD or any combination thereof, and the bonding contacts through the ILD layer are formed using wet etching and/or dry etching (e.g., RIE) followed by one or more thin film deposition processes such as ALD, CVD, PVD, any other suitable process, or any combination thereof.
The method 500 proceeds to operation 512, as shown in fig. 5A, in which the first substrate and the second substrate are bonded in a face-to-face manner such that the memory stack is above the peripheral circuitry. The bonding includes hybrid bonding. As shown in fig. 3G, the carrier substrate 302 and the components formed thereon (e.g., the memory stack 330 and the channel structure 314 formed therethrough) are flipped upside down. According to some embodiments, the downward facing bonding layer 346 is bonded with the upward facing bonding layer 348, i.e., in a face-to-face manner, thereby forming a bonding interface 354 between the carrier substrate 302 and the silicon substrate 350. In some embodiments, a treatment process, such as plasma treatment, wet treatment, and/or heat treatment, is applied to the bonding surface prior to bonding. After bonding, the bonding contacts in bonding layer 346 and bonding contacts in bonding layer 348 are aligned and contacted to each other such that memory stack 330 and channel structure 314 formed therethrough may be electrically connected to peripheral circuitry 352 and above peripheral circuitry 352.
The method 500 proceeds to operation 514, as shown in fig. 5A, in which the second substrate and the sacrificial layer are removed to expose an end of the channel structure. The removing may be performed from the back side of the second substrate. As shown in fig. 3H, the carrier substrate 302 and the sacrificial layer 304 are removed from the backside (as shown in fig. 3G) to expose the upper ends of the channel structures 314. Carrier substrate 302 may be completely removed using CMP, grinding, dry etching, and/or wet etching. In some embodiments, the carrier substrate 302 is peeled off. The removal of the carrier substrate 302 may be stopped by the underlying sacrificial layer 304 because they have different materials to ensure thickness uniformity. In some embodiments where the carrier substrate 302 comprises silicon and the sacrificial layer 304 comprises silicon oxide, CMP is used to remove the carrier substrate 302, which may automatically stop at the interface between the carrier substrate 302 and the sacrificial layer 304.
Thereafter, the sacrificial layer 304 may also be selectively removed using a wet etch with an appropriate etchant (e.g., hydrofluoric acid) without etching the underlying N-doped semiconductor layer 306. As described above, removal of the carrier substrate 302 does not affect the channel structure 314, since the channel structure 314 does not extend beyond the sacrificial layer 304 into the carrier substrate 302. Removal of the sacrificial layer 304 may expose the upper end of the channel structure 314. In some embodiments where the channel structure 314 extends into the sacrificial layer 304, the selective etching of the sacrificial layer 304 comprising silicon oxide also removes the portion of the barrier layer 317 comprising silicon oxide that is above the top surface of the N-doped semiconductor layer 306, but the storage layer 316 comprising silicon nitride and other layers surrounded by the storage layer 316 (e.g., the tunneling layer 315) remain intact.
The method 500 proceeds to operation 516, as shown in fig. 5A, in which a semiconductor plug is utilized in place of the portion of the channel structure adjacent to the N-doped semiconductor layer. In some embodiments, to replace a portion of the channel structure adjacent to the N-doped semiconductor layer with a semiconductor plug, a portion of the storage film adjacent to the N-doped semiconductor layer is removed to form a recess around a portion of the semiconductor channel, the portion of the semiconductor channel is doped, and polysilicon is deposited in the recess to form the semiconductor plug around and in contact with the portion of the doped semiconductor channel.
As shown in fig. 3I, a portion of the memory layer 316 adjacent to the N-type doped semiconductor layer 306 is removed (as shown in fig. 3H). In some embodiments, a wet etch is used to selectively remove the storage layer 316 comprising silicon nitride without etching the N-doped semiconductor layer 306 comprising polysilicon using an appropriate etchant such as phosphoric acid. The etching of the storage layer 316 may be controlled by controlling the etching time and/or the etching rate such that the etching does not continue to affect the remaining portion of the storage layer 316 surrounded by the storage stack 330.
As shown in fig. 3J, the portions of barrier layer 317 and tunnel layer 315 adjacent to N-doped semiconductor layer 306 are removed to form a recess 357 around the top portion of semiconductor channel 318 adjacent to N-doped semiconductor layer 306. In some embodiments, the barrier layer 317 and the tunneling layer 315 comprising silicon oxide are selectively removed using a wet etch using an appropriate etchant such as hydrofluoric acid, without etching the N-doped semiconductor layer 306 and the semiconductor channel 318 comprising polysilicon. The etching of barrier layer 317 and tunneling layer 315 may be controlled by controlling the etching time and/or etching rate such that the etching does not continue to affect the remainder of barrier layer 317 and tunneling layer 315 surrounded by memory stack 330. Thus, according to some embodiments, a top portion of the memory film of channel structure 314 (including barrier layer 317, memory layer 316, and tunneling layer 315) adjacent to N-doped semiconductor layer 306 is removed to form a recess 357, exposing a top portion of semiconductor channel 318. In some embodiments, the top portion of semiconductor channel 318 exposed by recess 357 is doped to increase its conductivity. For example, a tilted ion implantation process may be performed to dope the top portion of semiconductor channel 318 (e.g., comprising polysilicon) exposed by recess 357 to a desired doping concentration with any suitable dopant.
As shown in fig. 3K, a semiconductor plug 359 is formed in recess 357 (shown in fig. 3J) to surround and contact the doped top portion of semiconductor channel 318. Thus, according to some embodiments, the top portion of the channel structure 314 adjacent to the N-doped semiconductor layer 306 is thereby replaced with a semiconductor plug 359 (as shown in fig. 3H). In some embodiments, to form semiconductor plug 359, polysilicon is deposited into recess 357 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof, to fill recess 357, followed by a CMP process to remove any excess polysilicon above the top surface of N-doped semiconductor layer 306. In some embodiments, in-situ doping of N-type dopants, such as P, as or Sb, is performed to dope semiconductor plug 359 while depositing polysilicon into recess 357. Since the semiconductor plug 359 and the N-type doped semiconductor layer 306 may comprise the same material, e.g., polysilicon, and have the same thickness (after the CMP process), the semiconductor plug 359 may be considered part of the N-type doped semiconductor layer 306. However, according to some embodiments, since semiconductor plug 359 is formed in a later process after forming the remainder of N-doped semiconductor layer 306 (e.g., as shown in fig. 3A), the doping concentration of semiconductor plug 359 is different from the doping concentration of the remainder of N-doped semiconductor layer 306, whether or not semiconductor plug 359 is in-situ doped.
As described above, the semiconductor plug 359 in the N-doped semiconductor layer 306 may serve as a sidewall SEG of the channel structure 314. In contrast to the known method for forming the sidewalls SEG, in which the etching and deposition process is performed through a slit 320 (as shown in fig. 3D) having a large aspect ratio extending all the way through the dielectric stack 308, when the carrier substrate 302 is removed, a semiconductor plug 359 may be formed from opposite sides of the dielectric stack 308/storage stack 330, which is not affected by the aspect ratio of the level of the dielectric stack 308/storage stack 330 and the slit 320. By avoiding the problems introduced by the higher aspect ratio of the slit 320, manufacturing complexity and cost can be reduced, and yield can be improved. In addition, vertical scalability may also be improved (e.g., increasing the level of dielectric stack 308/storage stack 330).
The method 500 proceeds to operation 518, as shown in fig. 5A, in which a source contact is formed over the memory stack and in contact with the N-doped semiconductor layer. As shown in fig. 3L, one or more ILD layers 356 are formed on N-doped semiconductor layer 306. ILD layer 356 may be formed by depositing a dielectric material on the top surface of N-doped semiconductor layer 306 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof. Source contact openings 358 may be formed through ILD layer 356 into N-doped semiconductor layer 306. In some embodiments, the source contact openings 358 are formed using wet etching and/or dry etching (e.g., RIE). In some embodiments, the source contact opening 358 extends further into a top portion of the N-doped semiconductor layer 306. The etching process through ILD layer 356 may continue to etch portions of N-doped semiconductor layer 306. In some embodiments, portions of N-doped semiconductor layer 306 are etched using a separate etching process after etching through ILD layer 356.
As shown in fig. 3M, a source contact 364 is formed at the back side of the N-doped semiconductor layer 306 at a source contact opening 358 (shown in fig. 3L). According to some embodiments, source contact 364 is above memory stack 330 and in contact with N-doped semiconductor layer 306. In some embodiments, one or more conductive materials are deposited into the source contact openings 358 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof, to fill the source contact openings 358 with an adhesive layer (e.g., tiN) and a conductor layer (e.g., W). Thereafter, a planarization process, such as CMP, may be performed to remove excess conductive material so that the top surface of source contact 364 is level with the top surface of ILD layer 356.
The method 500 proceeds to operation 520, as shown in fig. 5A, in which an interconnect layer is formed over and in contact with the source contact. In some embodiments, a contact is formed through the N-doped semiconductor layer and in contact with the interconnect layer such that the N-doped semiconductor layer is electrically connected to the contact through the source contact and the interconnect layer.
As shown in fig. 3N, a redistribution layer 370 is formed over the source contact 364 and in contact with the source contact 364. In some embodiments, the redistribution layer 370 is formed by depositing a conductive material (e.g., al) on top surfaces of the ILD layer 356 and the source contact 364 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof. A passivation layer 372 may be formed on the redistribution layer 370. In some embodiments, the passivation layer 372 is formed by depositing a dielectric material such as silicon nitride using one or more thin film deposition processes such as ALD, CVD, PVD, any other suitable process, or any combination thereof. According to some embodiments, an interconnect layer 376 is thereby formed that includes ILD layer 356, redistribution layer 370, and passivation layer 372.
As shown in fig. 3L, contact openings 360 and 361 are formed that extend through ILD layer 356 and N-doped semiconductor layer 306, respectively. In some embodiments, contact openings 360 and 361 are formed through ILD layer 356 and N-doped semiconductor layer 306 using wet etching and/or dry etching (e.g., RIE). In some embodiments, contact openings 360 and 361 are patterned to align with peripheral contacts 338 and 340, respectively, using photolithography. Etching of contact openings 360 and 361 may stop at the upper ends of peripheral contacts 338 and 340 to expose peripheral contacts 338 and 340. As shown in fig. 3L, spacers 362 are formed along the sidewalls of contact openings 360 and 361 to electrically separate N-doped semiconductor layer 306 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof. In some embodiments, the etching of the source contact opening 358 is performed after forming the spacer 362 such that the spacer 362 is not formed along sidewalls of the source contact opening 358 to improve the contact area between the source contact 364 and the N-doped semiconductor layer 306.
As shown in fig. 3M, contacts 366 and 368 are formed at the back side of N-doped semiconductor layer 306 in contact openings 360 and 361 (shown in fig. 3L), respectively. Contacts 366 and 368 extend vertically through ILD layer 356 and N-doped semiconductor layer 306, according to some embodiments. Contacts 366 and 368 and source contact 364 may be formed using the same deposition process to reduce the number of deposition processes. In some embodiments, one or more conductive materials are deposited into contact openings 360 and 361 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof, to fill contact openings 360 and 361 with an adhesive layer (e.g., tiN) and a conductor layer (e.g., W). Thereafter, a planarization process, such as CMP, may be performed to remove excess conductive material such that the top surfaces of contacts 366 and 368 (and the top surface of source contact 364) are flush with the top surface of ILD layer 356. In some embodiments, because contact openings 360 and 361 are aligned with peripheral contacts 338 and 340, respectively, contacts 366 and 368 are also above peripheral contacts 338 and 340, respectively, and in contact with peripheral contacts 338 and 340.
As shown in fig. 3N, redistribution layer 370 is also formed over contacts 366 and in contact with contacts 366. Thus, N-doped semiconductor layer 306 can be electrically connected to peripheral contact 338 through source contact 364, redistribution layer 370 of interconnect layer 376, and contact 366. In some embodiments, N-doped semiconductor layer 306 is electrically connected to peripheral circuitry 352 through source contact 364, interconnect layer 376, contact 366, peripheral contact 338, and bonding layers 346 and 348.
As shown in fig. 3N, a contact pad 374 is formed over the contact 368 and in contact with the contact 368. In some embodiments, the portion of passivation layer 372 overlying contact 368 is removed by wet and/or dry etching to expose the portion of underlying redistribution layer 370 to form contact pad 374. Accordingly, the contact pads 374 for pad extraction can be electrically connected to the peripheral circuitry 352 through the contacts 368, the peripheral contacts 340, and the bonding layers 346 and 348.
It should be appreciated that the second substrate, sacrificial layer, and N-doped semiconductor layer described above in method 500 may be replaced by an SOI wafer that includes a handle layer, a buried oxide layer (also referred to as a "BOX" layer), and a device layer, as described below with respect to method 501. Details of similar operations between methods 500 and 501 may not be repeated for ease of description. Referring to fig. 5B, a method 501 begins at operation 502 in which peripheral circuitry is formed on a first substrate. The first substrate may be a silicon substrate.
The method 501 proceeds to operation 503, as shown in fig. 5B, in which the device layer of the SOI wafer is doped with an N-type dopant. The SOI wafer may include a handle layer, a buried oxide layer, and a device layer. In some embodiments, the buried oxide layer comprises silicon oxide and the device layer comprises monocrystalline silicon. As shown in fig. 3A, SOI wafer 301 includes handle layer 302 (corresponding to carrier substrate 302 when method 500 is described above), buried oxide layer 304 (corresponding to sacrificial layer 304), and device layer 306 (corresponding to N-doped semiconductor layer 306). The device layer 306 may be doped with an N-type dopant, such as P, as or Sb, using ion implantation and/or thermal diffusion to become an N-type doped device layer 306. It should be appreciated that the description above in relation to the carrier substrate 302, the sacrificial layer 304 and the N-doped semiconductor layer 306 may similarly apply to the handle layer 302, the buried oxide layer 304 and the doped device layer 306, respectively, of the SOI wafer 301 to better understand the method 501 below, and will therefore not be repeated to simplify the description.
The method 501 proceeds to operation 505, as shown in fig. 5B, in which a dielectric stack is formed on a doped device layer of an SOI wafer. The dielectric stack may include alternating stacked dielectric layers and stacked sacrificial layers. The method 501 proceeds to operation 507, as shown in fig. 5B, in which a channel structure is formed that extends vertically through the dielectric stack and the doped device layer. In some embodiments, to form the channel structure, a channel hole is formed that extends vertically through the dielectric stack and the doped device layer, stops at the buried oxide layer, and a memory film and a semiconductor channel are deposited sequentially along sidewalls of the channel hole. The method 501 proceeds to operation 508, as shown in fig. 5B, in which the dielectric stack is replaced with a memory stack such that the channel structure extends vertically through the memory stack and the doped device layer. In some embodiments, to replace the dielectric stack with a storage stack, an opening is etched that extends vertically through the dielectric stack, stopping at the doped device layer, and through the opening, the stacked sacrificial layer is replaced with a stacked conductive layer to form a storage stack comprising alternating stacked dielectric layers and stacked conductive layers. The method 501 proceeds to operation 510, as shown in fig. 5B, in which an insulating structure is formed that extends vertically through the storage stack. In some embodiments, to form the insulating structure, after forming the storage stack, one or more dielectric materials are deposited into the opening to fill the opening.
The method 501 proceeds to operation 513, as shown in fig. 5B, in which the first substrate and the SOI wafer are bonded in a face-to-face fashion such that the memory stack is above the peripheral circuitry. The bonding includes hybrid bonding. The method 501 proceeds to operation 515, as shown in fig. 5B, where the handle layer and buried oxide layer of the SOI wafer are removed to expose the ends of the channel structure. The method 501 proceeds to operation 517, as shown in fig. 5B, in which a semiconductor plug is utilized in place of a portion of the channel structure adjacent to the doped device layer. In some embodiments, to replace a portion of the channel structure adjacent to the doped device layer with a semiconductor plug, the portion of the memory film adjacent to the doped device layer is etched to form a recess around the portion of the semiconductor channel, the portion of the semiconductor channel is doped, and polysilicon is deposited in the recess to form the semiconductor plug around and in contact with the portion of the doped semiconductor channel.
The method 501 proceeds to operation 519, as shown in fig. 5B, in which a source contact is formed over the memory stack and in contact with the doped device layer. The method 501 proceeds to operation 520, as shown in fig. 5B, in which an interconnect layer is formed over and in contact with the source contact. In some embodiments, a contact is formed through the doped device layer and in contact with the interconnect layer such that the doped device layer is electrically connected to the contact through the source contact and the interconnect layer.
Fig. 4A-4O illustrate a fabrication process for forming another exemplary 3D memory device according to some embodiments of the present disclosure. Fig. 6A illustrates a flowchart of a method 600 for forming another exemplary 3D memory device, according to some embodiments of the present disclosure. Fig. 6B illustrates a flowchart of another method 601 for forming another exemplary 3D memory device, according to some embodiments of the present disclosure. Examples of 3D memory devices depicted in fig. 4A-4O, 6A, and 6B include 3D memory device 200 depicted in fig. 2. Fig. 4A to 4O, fig. 6A, and fig. 6B will be described together. It should be understood that the operations shown in methods 600 and 601 are not exclusive and that other operations may be performed before, after, or between any of the operations shown. Further, some of the operations may be performed simultaneously or may be performed in an order different from that shown in fig. 6A and 6B.
Referring to fig. 6A, a method 600 begins at operation 602 in which peripheral circuitry is formed on a first substrate. The first substrate may be a silicon substrate. As shown in fig. 4G, a plurality of transistors are formed on the silicon substrate 450 using a variety of processes including, but not limited to, photolithography, etching, thin film deposition, thermal growth, implantation, CMP, and any other suitable process. In some embodiments, doped regions (not shown) that function as, for example, source and/or drain regions of a transistor are formed in the silicon substrate 450 by ion implantation and/or thermal diffusion. In some embodiments, isolation regions (e.g., STI) are also formed in the silicon substrate 450 by wet and/or dry etching and thin film deposition. The transistors are capable of forming peripheral circuitry 452 on a silicon substrate 450.
As shown in fig. 4G, a bonding layer 448 is formed over the peripheral circuit 452. Bonding layer 448 includes bonding contacts that are electrically connected to peripheral circuitry 452. To form the bonding layer 448, an ILD layer is deposited using one or more thin film deposition processes, such as CVD, PVD, ALD, or any combination thereof; bond contacts are formed through the ILD layer using wet etching and/or dry etching (e.g., RIE) followed by one or more thin film deposition processes (such as ALD, CVD, PVD any other suitable process or any combination thereof).
A channel structure may be formed over the second substrate extending vertically through the memory stack and the P-type doped semiconductor layer having the N-well. The method 600 proceeds to operation 604, as shown in fig. 6A, in which a sacrificial layer on a second substrate, a P-type doped semiconductor layer with an N-well on the sacrificial layer, and a dielectric stack on the P-type doped semiconductor layer are sequentially formed. The second substrate may be a silicon substrate. It should be appreciated that the second substrate may be part of a pseudo wafer (e.g., carrier substrate) composed of any suitable material, such as glass, sapphire, plastic, silicon, to name a few, as the second substrate will be removed from the final product, to reduce the cost of the second substrate. In some embodiments, the substrate is a carrier substrate, the sacrificial layer comprises a dielectric material, the P-doped semiconductor layer comprises polysilicon, and the dielectric stack comprises alternating stacked dielectric layers and stacked sacrificial layers. In some embodiments, stacked dielectric layers and stacked sacrificial layers are alternately deposited on the P-doped semiconductor layers to form a dielectric stack. In some embodiments, portions of the P-doped semiconductor layer are doped with an N-type dopant to form an N-well prior to forming the dielectric stack.
As shown in fig. 4A, a sacrificial layer 404 is formed on the carrier substrate 402, and a P-type doped semiconductor layer 406 is formed on the sacrificial layer 404. The P-doped semiconductor layer 406 may comprise a polysilicon layer doped with a P-type dopant such as B, ga or Al. Sacrificial layer 404 may comprise any suitable sacrificial material that may be later selectively removed and that is different from the material of P-doped semiconductor layer 406. In some embodiments, sacrificial layer 404 comprises a dielectric material, such as silicon oxide or silicon nitride. According to some embodiments, to form the sacrificial layer 404, silicon oxide or silicon nitride is deposited on the carrier substrate 402 using one or more thin film deposition processes including, but not limited to CVD, PVD, ALD or any combination thereof. In some embodiments, to form the P-type doped semiconductor layer 406, polysilicon is deposited on the sacrificial layer 404 using one or more thin film deposition processes including, but not limited to CVD, PVD, ALD or any combination thereof, followed by doping the deposited polysilicon with a P-type dopant (such as B, ga or a) using ion implantation and/or thermal diffusion. In some embodiments, to form the P-doped semiconductor layer 406, in-situ doping of a P-type dopant, such as B, ga or Al, is performed when depositing polysilicon on the sacrificial layer 404.
As shown in fig. 4A, a portion of the P-type doped semiconductor layer 406 is doped with an N-type dopant such as P, as or Sb to form an N-well 407 in the P-type doped semiconductor layer 406. In some embodiments, N-well 407 is formed using ion implantation and/or thermal diffusion. The ion implantation and/or thermal diffusion process may be controlled to control the thickness of the N-well 407 through the entire thickness of the P-doped semiconductor layer 406 or through portions of the P-doped semiconductor layer 406.
As shown in fig. 4B, a dielectric stack 408 comprising a plurality of pairs of first dielectric layers (referred to herein as "stacked sacrificial layers" 412) and second dielectric layers (referred to herein as "stacked dielectric layers" 410, referred to herein together with the former as "dielectric layer pairs") is formed onto the P-type doped semiconductor layer 406. According to some embodiments, the dielectric stack 408 includes alternating stacked sacrificial layers 412 and stacked dielectric layers 410. Stacked dielectric layers 410 and stacked sacrificial layers 412 may be alternately deposited on P-doped semiconductor layers 406 above carrier substrate 402 to form dielectric stack 408. In some embodiments, each stacked dielectric layer 410 comprises a silicon oxide layer and each stacked sacrificial layer 412 comprises a silicon nitride layer. The dielectric stack 408 may be formed by one or more thin film deposition processes including, but not limited to CVD, PVD, ALD or any combination thereof. As shown in fig. 4B, a stepped structure may be formed on an edge of the dielectric stack 408. The stepped structure may be formed by performing a plurality of so-called "trim-etch" cycles on the dielectric layer pairs of the dielectric stack 408 towards the carrier substrate 402. Due to the repeated trim-etch cycles applied to the dielectric layer pairs of the dielectric stack 408, the dielectric stack 408 may have one or more sloped edges and a top dielectric layer pair that is shorter than the bottom dielectric layer pair, as shown in fig. 4B.
The method 600 proceeds to operation 606, as shown in fig. 6A, in which a channel structure is formed extending vertically through the dielectric stack and the P-doped semiconductor layer. In some embodiments, to form the channel structure, a channel hole is etched that extends vertically through the dielectric stack and the P-doped semiconductor layer, stops at the sacrificial layer, and a memory film and a semiconductor channel are deposited sequentially along sidewalls of the channel hole.
As shown in fig. 4B, the channel hole is an opening that extends vertically through the dielectric stack 408 and the P-doped semiconductor layer 406. In some embodiments, a plurality of openings are formed such that each opening becomes a location for growing a separate channel structure 414 in a later process. In some embodiments, the fabrication process for forming the channel holes of the channel structure 414 includes wet etching and/or dry etching, e.g., DRIE. Sacrificial layer 404 may act as an etch stop layer for controlling the variation of the slotting between different channel holes. For example, the etching of the channel holes may be stopped by the sacrificial layer 404 without extending further into the carrier substrate 402. That is, according to some embodiments, the lower end of each channel hole (and corresponding channel structure 414) is between the top and bottom surfaces of sacrificial layer 404.
As shown in fig. 4B, a memory film including a barrier layer 417, a memory layer 416, and a tunneling layer 415, and a semiconductor channel 418 are then formed in the listed order along the sidewall and bottom surfaces of the channel hole. In some embodiments, barrier layer 417, storage layer 416, and tunneling layer 415 are first deposited along the sidewalls and bottom surfaces of the channel holes in the listed order using one or more thin film deposition processes such as ALD, CVD, PVD, any other suitable process, or any combination thereof, to form a storage film. Thereafter, a semiconductor material, such as polysilicon (e.g., undoped polysilicon), may be deposited over the tunneling layer 415 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof, to form the semiconductor channel 418. In some embodiments, a first silicon oxide layer, a silicon nitride layer, a second silicon oxide layer, and a polysilicon layer ("SONO" structure) are then deposited to form the barrier layer 417, the storage layer 416, and the tunneling layer 415 of the storage film, and the semiconductor channel 418.
As shown in fig. 4B, a cap layer is formed in the channel hole and over the semiconductor channel 418 to completely or partially fill the channel hole (e.g., without or with an air gap). The capping layer may be formed by depositing a dielectric material such as silicon oxide using one or more thin film deposition processes such as ALD, CVD, PVD, any other suitable process, or any combination thereof. Thereafter, a channel plug is formed in a top portion of the channel hole. In some embodiments, the portions of the memory film, semiconductor channel 418, and cap layer on the top surface of dielectric stack 408 are removed by CMP, wet etching, and/or dry etching, and planarized. A recess may then be formed in the top portion of the channel hole by wet and/or dry etching the semiconductor channel 418 and the portion of the cap layer in the top portion of the channel hole. Thereafter, a semiconductor material, such as polysilicon, may be deposited to form the channel plug by one or more thin film deposition processes, such as CVD, PVD, ALD, or any combination thereof. Thereby forming a channel structure 414 through the dielectric stack 408 and the P-doped semiconductor layer 406. Depending on the depth to which the sacrificial layer 404 will stop etching for each channel hole, the channel structure 414 may extend further into the sacrificial layer 404 or stop at the interface between the sacrificial layer 404 and the P-doped semiconductor layer 406. However, the channel structure 414 may not extend further into the carrier substrate 402.
The method 600 proceeds to operation 608, as shown in fig. 6A, in which the dielectric stack is replaced with a memory stack using, for example, a so-called "gate replacement" process, such that the channel structure extends vertically through the memory stack and the P-doped semiconductor layer. In some embodiments, to replace the dielectric stack with a storage stack, an opening is etched that extends vertically through the dielectric stack, stopping at the P-doped semiconductor layer, and through the opening, the stacked sacrificial layer is replaced with a stacked conductive layer to form a storage stack comprising alternating stacked dielectric layers and stacked conductive layers.
As shown in fig. 4C, the slit 420 is an opening that extends vertically through the dielectric stack 408 and stops at the P-doped semiconductor layer 406. In some embodiments, the fabrication process used to form the gap 420 includes wet etching and/or dry etching, e.g., DRIE. While shown in fig. 4C as being aligned with N-well 407, it should be understood that in other examples, slit 420 may not be aligned with N-well 407. Thereafter, a gate replacement may be performed through the slit 420 to replace the dielectric stack 408 with the storage stack 430 (as shown in fig. 4E).
As shown in fig. 4D, the lateral recess 422 is first formed by removing the stacked sacrificial layer 412 through the slit 420 (as shown in fig. 4C). In some embodiments, lateral grooves 422 alternating between stacked dielectric layers 410 are created by removing stacked sacrificial layers 412 by applying an etchant through gaps 420. The etchant may include any suitable etchant that selectively etches the stacked sacrificial layer 412 relative to the stacked dielectric layer 410.
As shown in fig. 4E, stacked conductive layer 428 (including gate electrode and adhesive layer) is deposited into lateral recess 422 (shown in fig. 3D) through gap 420. In some embodiments, the gate dielectric layer 422 is deposited into the lateral recess 422 prior to stacking the conductive layer 428 such that the stacked conductive layer 428 is deposited on the gate dielectric layer 422. The stacked conductive layers 428, such as metal layers, may be deposited using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof. In some embodiments, a gate dielectric layer 432, such as a high-k dielectric layer, is also formed along the sidewalls of the slot 420 and at the bottom of the slot 420. According to some embodiments, a memory stack 430 comprising alternating stacked conductive layers 428 and stacked dielectric layers 410 is thereby formed in place of dielectric stack 408 (shown in fig. 4D).
The method 600 proceeds to operation 610, as shown in fig. 6A, in which an insulating structure is formed that extends vertically through the storage stack. In some embodiments, to form the insulating structure, after forming the storage stack, one or more dielectric materials are deposited into the opening to fill the opening. As shown in fig. 4E, an insulating structure 436 is formed that extends vertically through the storage stack 430, stopping on the top surface of the P-type doped semiconductor layer 406. One or more dielectric materials (e.g., silicon oxide) may be deposited into the gap 420 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof, to completely or partially fill the gap 420 (with or without an air gap), thereby forming the insulating structure 436. In some embodiments, insulating structure 436 includes gate dielectric layer 432 (e.g., including a high-k dielectric) and dielectric cap layer 434 (e.g., including silicon oxide).
After forming insulating structure 436, local contacts including channel local contact 434 and word line local contact 442, as well as peripheral contacts 438, 439, and 440 are formed, as shown in fig. 4F. A localized dielectric layer may be formed on the storage stack 430 by depositing a dielectric material (such as silicon oxide or silicon nitride) on top of the storage stack 430 using one or more thin film deposition processes such as CVD, PVD, ALD or any combination thereof. The channel local contacts 444, word line local contacts 442, and peripheral contacts 438, 439, and 340 may be formed using wet and/or dry etching (e.g., RIE) to etch contact openings through the local dielectric layer (and any other ILD layers), followed by filling the contact openings with conductive material using one or more thin film deposition processes such as ALD, CVD, PVD, any other suitable process, or any combination thereof.
As shown in fig. 4F, a bonding layer 446 is formed over channel local contact 444, word line local contact 442, and peripheral contacts 438, 439, and 440. Bonding layer 446 includes bonding contacts electrically connected to channel local contact 444, word line local contact 442, and peripheral contacts 438, 439, and 440. To form the bonding layer 446, the ILD layer is deposited using one or more thin film deposition processes such as CVD, PVD, ALD or any combination thereof, and the bonding contacts through the ILD layer are formed using wet etching and/or dry etching (e.g., RIE) followed by one or more thin film deposition processes such as ALD, CVD, PVD, any other suitable process, or any combination thereof.
The method 600 proceeds to operation 612, as shown in fig. 6A, in which the first substrate and the second substrate are bonded in a face-to-face manner such that the memory stack is above the peripheral circuitry. The bonding includes hybrid bonding. As shown in fig. 4G, the carrier substrate 402 and the components formed thereon (e.g., the memory stack 430 and the channel structure 414 formed therethrough) are flipped upside down. According to some embodiments, the downward facing bonding layer 446 is bonded with the upward facing bonding layer 448, i.e., in a face-to-face manner, thereby forming a bonding interface 454 between the carrier substrate 402 and the silicon substrate 450. In some embodiments, a treatment process, such as plasma treatment, wet treatment, and/or heat treatment, is applied to the bonding surface prior to bonding. After bonding, the bonding contacts in bonding layer 446 and bonding contacts in bonding layer 448 are aligned and in contact with each other so that memory stack 430 and channel structure 414 formed therethrough can be electrically connected to peripheral circuitry 452 and above peripheral circuitry 452.
The method 600 proceeds to operation 614, as shown in fig. 6A, in which the second substrate and the sacrificial layer are removed to expose an end of the channel structure. The removing may be performed from the back side of the second substrate. As shown in fig. 4H, the carrier substrate 402 and the sacrificial layer 404 are removed from the backside (as shown in fig. 4G) to expose the upper ends of the channel structures 414. Carrier substrate 402 may be completely removed using CMP, grinding, dry etching, and/or wet etching. In some embodiments, the carrier substrate 402 is peeled off. Removal of the carrier substrate 402 may be stopped by the underlying sacrificial layer 404 because they have different materials to ensure thickness uniformity. In some embodiments where the carrier substrate 402 comprises silicon and the sacrificial layer 404 comprises silicon oxide, CMP is used to remove the carrier substrate 402, which may automatically stop at the interface between the carrier substrate 402 and the sacrificial layer 404.
Thereafter, the sacrificial layer 404 may also be selectively removed using a wet etch with an appropriate etchant (e.g., hydrofluoric acid) without etching the underlying P-doped semiconductor layer 406. As described above, since channel structure 414 does not extend beyond sacrificial layer 404 into carrier substrate 402, removal of carrier substrate 402 does not affect channel structure 414. Removal of the sacrificial layer 404 may expose an upper end of the channel structure 414. In some embodiments where channel structure 414 extends into sacrificial layer 404, the selective etching of sacrificial layer 404 comprising silicon oxide also removes the portion of barrier layer 417 comprising silicon oxide that is above the top surface of P-doped semiconductor layer 406, but storage layer 416 comprising silicon nitride and other layers surrounded by storage layer 416 (e.g., tunneling layer 415) remain intact.
The method 600 proceeds to operation 616, where the portion of the channel structure adjacent to the P-doped semiconductor layer is replaced with a semiconductor plug, as shown in fig. 6A. In some embodiments, to replace a portion of the channel structure adjacent to the P-doped semiconductor layer with a semiconductor plug, a portion of the storage film adjacent to the P-doped semiconductor layer is removed to form a recess around a portion of the semiconductor channel, the portion of the semiconductor channel is doped, and polysilicon is deposited in the recess to form the semiconductor plug around and in contact with the portion of the doped semiconductor channel.
As shown in fig. 4I, the portion of the memory layer 416 adjacent to the P-type doped semiconductor layer 406 is removed (as shown in fig. 4H). In some embodiments, a wet etch is used to selectively remove the storage layer 416 comprising silicon nitride without etching the P-doped semiconductor layer 406 comprising polysilicon using an appropriate etchant such as phosphoric acid. The etching of storage layer 416 may be controlled by controlling the etching time and/or the etching rate such that the etching does not continue to affect the remaining portion of storage layer 416 surrounded by storage stack 430.
As shown in fig. 4J, the portions of the barrier layer 417 and the tunneling layer 415 adjacent to the P-doped semiconductor layer 406 are removed to form a recess 457 surrounding the top portion of the semiconductor channel 418 adjacent to the P-doped semiconductor layer 406. In some embodiments, the barrier layer 417 and the tunneling layer 415 comprising silicon oxide are selectively removed using a wet etch using an appropriate etchant such as hydrofluoric acid, without etching the P-doped semiconductor layer 406 and the semiconductor channel 418 comprising polysilicon. The etching of barrier layer 417 and tunneling layer 415 may be controlled by controlling the etching time and/or etching rate such that the etching does not continue to affect the remaining portions of barrier layer 417 and tunneling layer 415 surrounded by memory stack 430. Thus, according to some embodiments, a top portion of the memory film (including the barrier layer 417, the memory layer 416, and the tunneling layer 415) of the channel structure 414 adjacent to the P-type doped semiconductor layer 406 is removed to form a recess 457 exposing a top portion of the semiconductor channel 418. In some embodiments, the top portion of the semiconductor channel 418 exposed by the recess 457 is doped to increase its conductivity. For example, a tilted ion implantation process may be performed to dope the top portion of semiconductor channel 418 (e.g., comprising polysilicon) exposed by recess 457 to a desired doping concentration with any suitable dopant.
As shown in fig. 4K, a semiconductor plug 459 is formed in recess 457 (shown in fig. 4J) to surround and contact the doped top portion of semiconductor channel 418. Thus, according to some embodiments, a top portion of channel structure 414 adjacent to P-type doped semiconductor layer 406 is thereby replaced with a semiconductor plug 459 (as shown in fig. 4H). In some embodiments, to form semiconductor plug 459, polysilicon is deposited into recess 457 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof, to fill recess 457, followed by a CMP process to remove any excess polysilicon above the top surface of P-type doped semiconductor layer 406. In some embodiments, in-situ doping of a P-type dopant, such as B, ga or Al, is performed to dope the semiconductor plug 459 when depositing polysilicon into the recess 457. Since the semiconductor plug 459 and the P-type doped semiconductor layer 406 may comprise the same material, e.g., polysilicon, and have the same thickness (after a CMP process), the semiconductor plug 459 may be considered as part of the P-type doped semiconductor layer 406. However, according to some embodiments, since the semiconductor plug 459 is formed in a later process after forming the remainder of the P-type doped semiconductor layer 406 (e.g., as shown in fig. 4A), the doping concentration of the semiconductor plug 459 is different from the doping concentration of the remainder of the P-type doped semiconductor layer 406, whether or not the semiconductor plug 459 is in-situ doped.
As described above, the semiconductor plug 459 in the P-type doped semiconductor layer 406 may serve as a sidewall SEG of the channel structure 414. In contrast to the known method for forming the sidewalls SEG, in which the etching and deposition process is performed through a slit 420 (as shown in fig. 4D) having a large aspect ratio extending all the way through the dielectric stack 408, when the carrier substrate 402 is removed, a semiconductor plug 459 may be formed from opposite sides of the dielectric stack 408/storage stack 430, which is not affected by the aspect ratio of the level of the dielectric stack 408/storage stack 430 and the slit 420. By avoiding the problems introduced by the higher aspect ratio of the slit 420, manufacturing complexity and cost can be reduced, and yield can be improved. In addition, vertical scalability may also be improved (e.g., increasing the level of dielectric stack 408/storage stack 430).
The method 600 proceeds to operation 618, as shown in fig. 6A, in which a first source contact is formed over the storage stack and in contact with the P-doped semiconductor layer, and a second source contact is formed over the storage stack and in contact with the N-well. As shown in fig. 4L, one or more ILD layers 456 are formed on P-type doped semiconductor layer 406. ILD layer 456 may be formed by depositing a dielectric material on the top surface of P-doped semiconductor layer 406 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof.
As shown in fig. 4M, a source contact opening 458 may be formed through ILD layer 456 into P-type doped semiconductor layer 406. In some embodiments, the source contact opening 458 is formed using wet etching and/or dry etching (e.g., RIE). In some embodiments, source contact opening 458 extends further into a top portion of P-type doped semiconductor layer 406. The etching process through ILD layer 456 may continue to etch portions of P-doped semiconductor layer 406. In some embodiments, a separate etch process is used to etch portions of P-doped semiconductor layer 406 after etching through ILD layer 456.
As shown in fig. 4M, a source contact opening 465 may be formed through ILD layer 456 into N-well 407. In some embodiments, source contact opening 465 is formed using wet etching and/or dry etching (e.g., RIE). In some embodiments, source contact opening 465 further extends into a top portion of N-well 407. The etching process through ILD layer 456 may continue to etch portions of N-well 407. In some embodiments, a separate etch process is used to etch portions of N-well 407 after etching through ILD layer 456. Etching of source contact opening 458 may be performed after etching of source contact opening 465 and vice versa. It should be appreciated that in some examples, source contact openings 458 and 465 may be etched by the same etching process to reduce the number of etching processes.
As shown in fig. 4N, contacts 464 and 478 are formed at the back side of the P-type doped semiconductor layer 406 in the source contact openings 458 and 465, respectively (as shown in fig. 4M). According to some embodiments, source contact 464 is above storage stack 430 and in contact with P-doped semiconductor layer 406. According to some embodiments, source contact 478 is above storage stack 430 and in contact with N-well 407. In some embodiments, one or more conductive materials are deposited into the source contact openings 458 and 465 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof, to fill the source contact openings 458 and 465 with an adhesive layer (e.g., tiN) and a conductor layer (e.g., W). Thereafter, a planarization process, such as CMP, may be performed to remove excess conductive material such that the top surfaces of source contacts 464 and 478 are level with each other and with the top surface of ILD layer 456. It should be appreciated that in some examples, the source contacts 464 and 478 may be formed by the same deposition process and CMP process to reduce the number of fabrication processes.
The method 600 proceeds to operation 620, as shown in fig. 6A, in which an interconnect layer is formed over and in contact with the first and second source contacts. In some embodiments, the interconnect layer includes first and second interconnects that are above and in contact with the first and second source contacts, respectively.
As shown in fig. 4O, a redistribution layer 470 is formed over and in contact with source contacts 464 and 478. In some embodiments, the redistribution layer 470 is formed by depositing a conductive material (e.g., al) on the top surfaces of the ILD layer 456 and the source contact 464 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof. In some embodiments, the redistribution layer 470 is patterned by a photolithography process and an etching process to form a first interconnect 470-1 over and in contact with the source contact 464 and a second interconnect 470-2 over and in contact with the source contact 478. The first interconnect 470-1 and the second interconnect 470-2 may be electrically separated from each other. A passivation layer 472 may be formed on the redistribution layer 470. In some embodiments, the passivation layer 472 is formed by depositing a dielectric material such as silicon nitride using one or more thin film deposition processes such as ALD, CVD, PVD, any other suitable process, or any combination thereof. According to some embodiments, an interconnect layer 476 including ILD layer 456, redistribution layer 470, and passivation layer 472 is thereby formed.
As shown in fig. 4L, contact openings 460, 461, and 463 are formed that extend through ILD layer 456 and P-type doped semiconductor layer 406, respectively. In some embodiments, contact openings 460, 461, and 463 through ILD layer 456 and P-type doped semiconductor layer 406 are formed using wet etching and/or dry etching (e.g., RIE). In some embodiments, contact openings 460, 461, and 463 are patterned using photolithography to align with peripheral contacts 438, 440, and 439, respectively. Etching of contact openings 460, 461, and 463 may stop at the upper ends of peripheral contacts 438, 439, and 440 to expose peripheral contacts 438, 440, and 439. Etching of the contact openings 460, 461, and 463 may be performed by the same etching process to reduce the number of etching processes. It should be appreciated that due to the different etch depths, etching of contact openings 460, 461, and 463 may be performed prior to etching of source contact opening 465, and vice versa, but not simultaneously.
As shown in fig. 4M, spacers 462 along sidewalls of contact openings 460, 461, and 463 and contact opening 465 are formed using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof, to electrically separate P-type doped semiconductor layer 406. In some embodiments, spacers 462 are formed along sidewalls of contact openings 460, 461, and 463 and source contact opening 465 by the same deposition process to reduce the number of fabrication processes. In some embodiments, the etching of the source contact opening 458 is performed after the formation of the spacer 462 such that the spacer 462 is not formed along sidewalls of the source contact opening 458 to increase the contact area between the source contact 464 and the P-type doped semiconductor layer 406.
As shown in fig. 4N, contacts 466, 468, and 469 in contact openings 460, 461, and 463 (shown in fig. 4M), respectively, are formed at the back side of P-type doped semiconductor layer 406. Contacts 466, 468, and 469 extend vertically through ILD layer 456 and P-type doped semiconductor layer 406, according to some embodiments. The contacts 466, 468 and 469 and the source contacts 464 and 478 may be formed using the same deposition process to reduce the number of deposition processes. In some embodiments, one or more conductive materials are deposited into contact openings 460, 461, and 463 using one or more thin film deposition processes, such as ALD, CVD, PVD, any other suitable process, or any combination thereof, to fill contact openings 460, 461, and 463 with an adhesive layer (e.g., tiN) and a conductor layer (e.g., W). Thereafter, a planarization process, such as CMP, may be performed to remove excess conductive material such that the top surfaces of contacts 466, 468, and 469 (and the top surfaces of source contacts 464 and 478) are level with the top surface of ILD layer 456. In some embodiments, contacts 466, 468, and 469 are also above and in contact with peripheral contacts 438, 440, and 439, respectively, because contact openings 460, 461, and 463 are aligned with peripheral contacts 438, 440, and 439, respectively.
As shown in fig. 4O, a first interconnect 470-1 of the redistribution layer 470 is formed over the contact 466 and in contact with the contact 466. Thus, the P-doped semiconductor layer 406 can be electrically connected to the peripheral contact 438 through the source contact 464, the first interconnect 470-1 of the interconnect layer 476, and the contact 466. In some embodiments, P-type doped semiconductor layer 406 is electrically connected to peripheral circuitry 452 through source contact 464, first interconnect 470-1 of interconnect layer 476, contact 466, peripheral contact 438, and bonding layers 446 and 448. Similarly, a second interconnect 470-2 of the redistribution layer 470 is formed over the contact 469 and in contact with the contact 469. Thus, the N-well 407 can be electrically connected to the peripheral contact 438 through the source contact 478, the second interconnect 470-2 of the interconnect layer 476, and the contact 469. In some embodiments, N-well 407 is electrically connected to peripheral circuitry 452 through source contact 478, second interconnect 470-2 of interconnect layer 476, contact 469, peripheral contact 439, and bonding layers 446 and 448.
As shown in fig. 4O, contact pads 474 are formed over contacts 468 and in contact with contacts 468. In some embodiments, the portion of passivation layer 472 overlying contacts 468 is removed by wet and/or dry etching to expose portions of underlying redistribution layer 470 to form contact pads 474. Accordingly, contact pads 474 for pad extraction can be electrically connected to peripheral circuitry 452 through contacts 468, peripheral contacts 440, and bonding layers 446 and 448.
It should be appreciated that the second substrate, sacrificial layer, and P-doped semiconductor layer described above in method 600 may be replaced by an SOI wafer that includes a handle layer, a buried oxide layer (also referred to as a "BOX" layer), and a device layer, as described below with respect to method 601. Details of similar operations between methods 600 and 601 may not be repeated for ease of description. Referring to fig. 6B, a method 601 begins with operation 602 in which peripheral circuitry is formed on a first substrate. The first substrate may be a silicon substrate.
Method 601 proceeds to operation 603, shown in fig. 6B, where the device layer of the SOI wafer is doped with a P-type dopant. The SOI wafer may include a handle layer, a buried oxide layer, and a device layer. In some embodiments, the buried oxide layer comprises silicon oxide and the device layer comprises monocrystalline silicon. Method 601 proceeds to operation 605, shown in fig. 6B, in which a portion of the doped device layer is doped with an N-type dopant to form an N-well in the doped device layer.
As shown in fig. 4A, SOI wafer 401 includes handle layer 402 (corresponding to carrier substrate 402 in method 600 described above), buried oxide layer 404 (corresponding to sacrificial layer 404), and device layer 406 (corresponding to P-doped semiconductor layer 406). The device layer 406 may be doped with a P-type dopant, such as P, as or Sb, using ion implantation and/or thermal diffusion to become a P-type doped device layer 406. Portions of doped device layer 406 may be further doped with an N-type dopant, such as B, ga or Al, using ion implantation and/or thermal diffusion to form N-well 407. It should be appreciated that the description above in relation to the carrier substrate 402, the sacrificial layer 404 and the P-type doped semiconductor layer 406 may similarly apply to the handle layer 402, the buried oxide layer 404 and the doped device layer 406, respectively, of the SOI wafer 401 to better understand the method 601 below, and will therefore not be repeated to simplify the description.
Method 601 proceeds to operation 607, as shown in fig. 6B, in which a dielectric stack is formed on the doped device layer of the SOI wafer. The dielectric stack may include alternating stacked dielectric layers and stacked sacrificial layers. Method 601 proceeds to operation 609, as shown in fig. 6B, in which a channel structure is formed that extends vertically through the dielectric stack and the doped device layer. In some embodiments, to form the channel structure, a channel hole is formed that extends vertically through the dielectric stack and the doped device layer, stops at the buried oxide layer, and a memory film and a semiconductor channel are deposited sequentially along sidewalls of the channel hole. Method 601 proceeds to operation 608, shown in fig. 6B, in which the dielectric stack is replaced with a memory stack such that the channel structure extends vertically through the memory stack and the doped device layer. In some embodiments, to replace the dielectric stack with a storage stack, an opening is etched that extends vertically through the dielectric stack, stopping at the doped device layer, and through the opening, the stacked sacrificial layer is replaced with a stacked conductive layer to form a storage stack comprising alternating stacked dielectric layers and stacked conductive layers. The method 601 proceeds to operation 610, as shown in fig. 6B, in which an insulating structure is formed that extends vertically through the storage stack. In some embodiments, to form the insulating structure, after forming the storage stack, one or more dielectric materials are deposited into the opening to fill the opening.
The method 601 proceeds to operation 613, as shown in fig. 6B, in which the first substrate and SOI wafer are bonded in a face-to-face fashion such that the memory stack is above the peripheral circuitry. The bonding includes hybrid bonding. The method 601 proceeds to operation 615, as shown in fig. 6B, in which the handle layer and buried oxide layer of the SOI wafer are removed to expose the ends of the channel structure. Method 601 proceeds to operation 617, as shown in fig. 6B, in which a semiconductor plug is utilized in place of the portion of the channel structure adjacent to the doped device layer. In some embodiments, to replace a portion of the channel structure adjacent to the doped device layer with a semiconductor plug, the portion of the memory film adjacent to the doped device layer is etched to form a recess around the portion of the semiconductor channel, the portion of the semiconductor channel is doped, and polysilicon is deposited in the recess to form the semiconductor plug around and in contact with the portion of the doped semiconductor channel.
The method 601 proceeds to operation 619, as shown in fig. 6B, in which a first source contact is formed above the storage stack and in contact with the doped device layer, and a second source contact is formed above the storage stack and in contact with the N-well. The method 601 proceeds to operation 621, as shown in fig. 6B, in which an interconnect layer is formed over and in contact with the first and second source contacts. In some embodiments, the interconnect layer includes a first interconnect above and in contact with the first source contact and a second interconnect above and in contact with the second source contact. In some embodiments, a first contact is formed through the doped device layer and in contact with the first interconnect such that the doped device layer is electrically connected to the first contact through the first source contact and the first interconnect. In some embodiments, a second contact is formed through the doped device layer and in contact with the second interconnect such that the N-well is electrically connected to the second contact through the second source contact and the second interconnect.
According to one aspect of the present disclosure, a method for forming a 3D memory device is disclosed. A sacrificial layer on the substrate, a P-type doped semiconductor layer with an N-well on the sacrificial layer, and a dielectric stack on the P-type doped semiconductor layer are sequentially formed. A channel structure is formed that extends vertically through the dielectric stack and the P-doped semiconductor layer. The dielectric stack is replaced with a storage stack such that the channel structure extends vertically through the storage stack and the P-doped semiconductor layer. The substrate and the sacrificial layer are removed to expose the ends of the channel structures. The semiconductor plug is used to replace the portion of the channel structure adjacent to the P-doped semiconductor layer.
In some embodiments, the substrate is a carrier wafer, the sacrificial layer comprises a dielectric material, the P-doped semiconductor layer comprises polysilicon, and the dielectric stack comprises alternating stacked dielectric layers and stacked sacrificial layers.
In some embodiments, to replace the dielectric stack with a storage stack, an opening is etched that extends vertically through the dielectric stack, the opening stopping at the P-doped semiconductor layer; and replacing the stacked sacrificial layer with a stacked conductive layer through the opening to form a storage stack comprising alternating stacked dielectric layers and stacked conductive layers.
In some embodiments, after replacing the dielectric stack with the storage stack, one or more dielectric materials are deposited into the opening to form an insulating structure extending vertically through the storage stack.
In some embodiments, to form the channel structure, a channel hole is etched extending vertically through the dielectric stack and the P-doped semiconductor layer, the channel hole stopping at the sacrificial layer; and sequentially depositing a memory film and a semiconductor channel along sidewalls of the channel hole.
In some embodiments, to replace a portion of the channel structure adjacent to the P-doped semiconductor layer with a semiconductor plug, the portion of the memory film adjacent to the P-doped semiconductor layer is etched to form a recess surrounding a portion of the semiconductor channel; doping a portion of the semiconductor channel; and depositing polysilicon into the recess to form a semiconductor plug surrounding and in contact with the portion of the doped semiconductor channel.
In some embodiments, after replacing a portion of the channel structure adjacent to the P-doped semiconductor layer with a semiconductor plug, forming a first source contact in contact with the P-doped semiconductor layer; and forming a second source contact to the N-well.
In some embodiments, an interconnect layer is formed that includes first and second interconnects in contact with the first and second source contacts, respectively.
In some embodiments, a first contact is formed through the P-type doped semiconductor layer and in contact with the first interconnect such that the P-type doped semiconductor layer is electrically connected to the first contact through the first source contact and the first interconnect. In some embodiments, a second contact is formed through the P-type doped semiconductor layer and in contact with the second interconnect such that the N-well is electrically connected to the second contact through the second source contact and the second interconnect.
In some embodiments, portions of the P-doped semiconductor layer are doped with an N-type dopant to form an N-well prior to forming the dielectric stack.
According to another aspect of the present disclosure, a method for forming a 3D memory device is disclosed. The device layer of an SOI wafer comprising a handle layer, a buried oxide layer, and a device layer is doped with a P-type dopant. Portions of the doped device layer are doped with an N-type dopant to form an N-well in the doped device layer. A dielectric stack is formed on the doped device layer of the SOI wafer. A channel structure is formed that extends vertically through the dielectric stack and the doped device layer. The dielectric stack is replaced with a memory stack such that the channel structure extends vertically through the memory stack and the doped device layer. The handle layer and buried oxide layer of the SOI wafer are removed to expose the ends of the channel structure. The semiconductor plug is used to replace the portion of the channel structure adjacent to the doped device layer.
In some embodiments, the dielectric stack includes alternating stacked dielectric layers and stacked sacrificial layers. In some embodiments, to replace the dielectric stack with a storage stack, an opening is etched that extends vertically through the dielectric stack, the opening stopping at the doped device layer; and replacing the stacked sacrificial layer with a stacked conductive layer through the opening to form a storage stack comprising alternating stacked dielectric layers and stacked conductive layers.
In some embodiments, after replacing the dielectric stack with the storage stack, one or more dielectric materials are deposited into the opening to form an insulating structure extending vertically through the storage stack.
In some embodiments, to form the channel structure, a channel hole is etched extending vertically through the dielectric stack and the doped device layer, the channel hole stopping at the buried oxide layer; and sequentially depositing a memory film and a semiconductor channel along sidewalls of the channel hole.
In some embodiments, to replace a portion of the channel structure adjacent to the doped device layer with a semiconductor plug, the portion of the memory film adjacent to the doped device layer is etched to form a recess surrounding a portion of the semiconductor channel; doping a portion of the semiconductor channel; and depositing polysilicon into the recess to form a semiconductor plug surrounding and in contact with the portion of the doped semiconductor channel.
In some embodiments, after replacing a portion of the channel structure adjacent to the doped device layer with a semiconductor plug, forming a first source contact in contact with the doped device layer; and forming a second source contact to the N-well.
In some embodiments, an interconnect layer is formed that includes first and second interconnects in contact with the first and second source contacts, respectively.
In some embodiments, a first contact is formed through the doped device layer and in contact with the first interconnect such that the doped device layer is electrically connected to the first contact through the first source contact and the first interconnect. In some embodiments, a second contact is formed through the doped device layer and in contact with the second interconnect such that the N-well is electrically connected to the second contact through the second source contact and the second interconnect.
According to yet another aspect of the present disclosure, a method for forming a 3D memory device is disclosed. Peripheral circuitry is formed on the first substrate. A channel structure is formed over the second substrate extending vertically through the storage stack and the P-doped semiconductor layer having the N-well. The first substrate and the second substrate are bonded in a face-to-face manner such that the memory stack is above the peripheral circuitry. The second substrate is removed to expose an upper end of the channel structure. The semiconductor plug is used to replace the portion of the channel structure adjacent to the P-doped semiconductor layer.
In some embodiments, to form a channel structure, a dielectric stack is formed on the P-type doped semiconductor layer; forming a channel structure extending vertically through the dielectric stack and the P-type doped semiconductor layer; and replacing the dielectric stack with a storage stack.
In some embodiments, to form the channel structure, a channel hole is etched that extends vertically through the dielectric stack; and sequentially depositing a memory film and a semiconductor channel along sidewalls of the channel hole.
In some embodiments, to replace a portion of the channel structure adjacent to the P-doped semiconductor layer with a semiconductor plug, the portion of the memory film adjacent to the P-doped semiconductor layer is etched to form a recess surrounding a portion of the semiconductor channel; doping a portion of the semiconductor channel; and depositing polysilicon into the recess to form a semiconductor plug surrounding and in contact with the portion of the doped semiconductor channel.
In some embodiments, after replacing a portion of the channel structure adjacent to the P-doped semiconductor layer with a semiconductor plug, forming a first source contact above the storage stack and in contact with the P-doped semiconductor layer; and forming a second source contact over the storage stack and in contact with the N-well.
In some embodiments, an interconnect layer is formed that includes first and second interconnects that are above and in contact with the first and second source contacts, respectively.
In some embodiments, a first contact is formed through the doped device layer and in contact with the first interconnect such that the doped device layer is electrically connected to the first contact through the first source contact and the first interconnect. In some embodiments, a second contact is formed through the doped device layer and in contact with the second interconnect such that the N-well is electrically connected to the second contact through the second source contact and the second interconnect.
In some embodiments, portions of the P-doped semiconductor layer are doped with an N-type dopant to form an N-well prior to forming the dielectric stack.
In some embodiments, the bonding comprises hybrid bonding.
The foregoing description of the specific embodiments will thus reveal the general nature of the disclosure, so that those skilled in the art can readily modify and/or adapt for various applications such specific embodiments without undue experimentation, without departing from the generic principles of the present disclosure. Therefore, based on the teachings and guidance provided herein, such adaptations and modifications are intended to be within the scope of the disclosed embodiments and equivalents. It is to be understood that the phraseology or terminology herein is for the purpose of description and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by the skilled artisan in light of the teachings and guidance.
The above describes embodiments of the present disclosure with the aid of functional building blocks illustrating the implementation of the specified functions and relationships thereof. The boundaries of these functional building blocks have been arbitrarily defined for the convenience of the description. Alternate boundaries may be defined so long as the specified functions and relationships thereof are appropriately performed.
The summary and abstract sections may set forth one or more, but not all exemplary embodiments of the present disclosure as contemplated by the inventors, and are therefore not intended to be limiting of the present disclosure and appended claims in any way.
The breadth and scope of the present disclosure should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.

Claims (29)

1. A method for forming a three-dimensional (3D) memory device, comprising:
forming a doped semiconductor layer;
forming a channel structure extending vertically through the doped semiconductor layer and the memory stack;
exposing an end of the channel structure;
replacing a portion of the channel structure adjacent to the doped semiconductor layer with a semiconductor plug;
forming a source contact with the doped semiconductor layer at an opposite side of the doped semiconductor layer from the storage stack;
An interconnect layer formed over and in contact with the source contact;
a contact is formed through the doped semiconductor layer and in contact with the interconnect layer such that the doped semiconductor layer is electrically connected to the contact through the source contact and the interconnect layer.
2. The method of claim 1, wherein forming the doped semiconductor layer comprises:
a sacrificial layer on a substrate, a doped semiconductor layer on the sacrificial layer, and a dielectric stack on the doped semiconductor layer are sequentially formed.
3. The method of claim 2, wherein the doped semiconductor layer is a P-type doped semiconductor layer having an N-well.
4. The method of claim 3, wherein the substrate is part of a carrier wafer, the sacrificial layer comprises a dielectric material, the P-doped semiconductor layer comprises polysilicon, and the dielectric stack comprises alternating stacked dielectric layers and stacked sacrificial layers.
5. The method of claim 3, wherein forming a channel structure extending vertically through the doped semiconductor layer and memory stack comprises: the dielectric stack is replaced with a storage stack.
6. The method of claim 5, wherein the dielectric stack comprises alternating stacked dielectric layers and stacked sacrificial layers, and wherein replacing the dielectric stack with the storage stack comprises:
etching an opening extending vertically through the dielectric stack stopping at the P-doped semiconductor layer; and
through the opening, the stacked sacrificial layer is replaced with a stacked conductive layer to form the storage stack including alternating the stacked dielectric layers and the stacked conductive layers.
7. The method of claim 6, further comprising: after replacing the dielectric stack with the storage stack, one or more dielectric materials are deposited into the opening to form an insulating structure extending vertically through the storage stack.
8. The method of any of claims 3-7, wherein forming the channel structure comprises:
etching a channel hole extending vertically through the dielectric stack and the P-type doped semiconductor layer, stopping at the sacrificial layer; and
and depositing a storage film and a semiconductor channel along the side wall of the channel hole in sequence.
9. The method of claim 8, wherein replacing the portion of the channel structure adjacent to the P-type doped semiconductor layer with the semiconductor plug comprises:
etching a portion of the memory film adjacent to the P-type doped semiconductor layer to form a groove surrounding a portion of the semiconductor channel;
doping the portion of the semiconductor channel; and
polysilicon is deposited into the recess to form the semiconductor plug surrounding and in contact with the portion of the doped semiconductor channel.
10. A method according to claim 3, further comprising: after replacing the portion of the channel structure adjacent to the P-type doped semiconductor layer with the semiconductor plug,
forming a first source contact in contact with the P-type doped semiconductor layer; and
a second source contact is formed to the N-well.
11. The method of claim 10, further comprising forming an interconnect layer comprising first and second interconnects in contact with the first and second source contacts, respectively.
12. The method of claim 11, further comprising:
forming a first contact through the P-type doped semiconductor layer and in contact with the first interconnect such that the P-type doped semiconductor layer is electrically connected to the first contact through the first source contact and the first interconnect; and
a second contact is formed through the P-type doped semiconductor layer and in contact with the second interconnect such that the N-well is electrically connected to the second contact through the second source contact and the second interconnect.
13. A method according to claim 3, further comprising: portions of the P-doped semiconductor layer are doped with an N-type dopant prior to forming the dielectric stack to form the N-well.
14. The method of claim 1, wherein the doped semiconductor layer is an N-type doped semiconductor layer.
15. A three-dimensional (3D) memory device, comprising:
a memory stack comprising alternating conductive layers and dielectric layers;
a doped semiconductor layer above the storage stack, wherein a semiconductor plug is included in the doped semiconductor layer and a doping concentration of the semiconductor plug is different from a doping concentration of a remaining portion of the doped semiconductor layer;
A channel structure extending vertically through the storage stack into the doped semiconductor layer, wherein the semiconductor plug surrounds and is in contact with a top portion of a semiconductor channel of the channel structure that extends into the doped semiconductor layer;
a source contact in contact with the doped semiconductor layer, the source contact on an opposite side of the doped semiconductor layer from the storage stack;
an interconnect layer over and in contact with the source contact;
a contact through the doped semiconductor layer and in contact with the interconnect layer, wherein the doped semiconductor layer is electrically connected to the contact through the source contact and the interconnect layer.
16. The 3D memory device of claim 15, wherein the doped semiconductor layer is a P-type doped semiconductor layer with an N-well.
17. The 3D memory device of claim 16, wherein an upper end of the channel structure is flush with or below a top surface of the P-type doped semiconductor layer.
18. The 3D memory device of claim 17, wherein the channel structure comprises a memory film and a semiconductor channel, and an upper end of the memory film is below an upper end of the semiconductor channel.
19. The 3D memory device of claim 18, wherein the upper end of the memory film is below the top surface of the P-type doped semiconductor layer and the upper end of the semiconductor channel is flush with or below the top surface of the P-type doped semiconductor layer.
20. The 3D memory device of claim 18 or 19, wherein the portion of the semiconductor channel extending into the P-type doped semiconductor layer comprises doped polysilicon.
21. The 3D memory device of claim 20, wherein the semiconductor plug comprises polysilicon and the remaining portion of the P-type doped semiconductor layer comprises polysilicon.
22. The 3D memory device of claim 20, wherein the semiconductor plug comprises polysilicon and the remaining portion of the P-type doped semiconductor layer comprises monocrystalline silicon.
23. The 3D memory device of claim 16, further comprising an insulating structure extending vertically through the memory stack and extending laterally to divide the channel structure into a plurality of blocks.
24. The 3D memory device of claim 23, wherein the insulating structure is filled with one or more dielectric materials.
25. The 3D memory device of claim 23 or 24, wherein a top surface of the insulating structure is flush with a bottom surface of the P-type doped semiconductor layer.
26. The 3D memory device of claim 16, further comprising:
a substrate;
peripheral circuitry located above the substrate; and
a bonding interface between the peripheral circuitry and the memory stack.
27. The 3D memory device of claim 26, further comprising:
a first source contact above the storage stack and in contact with the P-doped semiconductor layer; and
a second source contact above the storage stack and in contact with the N-well.
28. The 3D memory device of claim 27, wherein the interconnect layer is above and electrically connected to the first and second source contacts, and wherein the interconnect layer comprises a first interconnect in contact with the first source contact and a second interconnect in contact with the second source contact.
29. The 3D memory device of claim 28, further comprising:
a first contact through the P-doped semiconductor layer, wherein the P-doped semiconductor layer is electrically connected to the peripheral circuitry at least through the first source contact, the first interconnect, and the first contact; and
A second contact through the P-doped semiconductor layer, wherein the N-well is electrically connected to the peripheral circuitry through at least the second source contact, the second interconnect, and the second contact.
CN202110623282.0A 2020-05-27 2020-05-27 Method for forming three-dimensional memory device Active CN113410243B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110623282.0A CN113410243B (en) 2020-05-27 2020-05-27 Method for forming three-dimensional memory device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CN202110623282.0A CN113410243B (en) 2020-05-27 2020-05-27 Method for forming three-dimensional memory device
CN202080001145.7A CN111801797B (en) 2020-05-27 2020-05-27 Method for forming three-dimensional memory device
PCT/CN2020/092506 WO2021237492A1 (en) 2020-05-27 2020-05-27 Methods for forming three-dimensional memory devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN202080001145.7A Division CN111801797B (en) 2020-05-27 2020-05-27 Method for forming three-dimensional memory device

Publications (2)

Publication Number Publication Date
CN113410243A CN113410243A (en) 2021-09-17
CN113410243B true CN113410243B (en) 2023-04-25

Family

ID=72834308

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202110623282.0A Active CN113410243B (en) 2020-05-27 2020-05-27 Method for forming three-dimensional memory device
CN202080001145.7A Active CN111801797B (en) 2020-05-27 2020-05-27 Method for forming three-dimensional memory device

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202080001145.7A Active CN111801797B (en) 2020-05-27 2020-05-27 Method for forming three-dimensional memory device

Country Status (7)

Country Link
US (1) US11462560B2 (en)
EP (1) EP3942612B1 (en)
JP (1) JP7273183B2 (en)
KR (1) KR20210149074A (en)
CN (2) CN113410243B (en)
TW (1) TWI756737B (en)
WO (1) WO2021237492A1 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11963349B2 (en) * 2020-05-27 2024-04-16 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory devices with backside source contacts
JP2022041052A (en) * 2020-08-31 2022-03-11 キオクシア株式会社 Semiconductor device and method for manufacturing the same
WO2022047644A1 (en) * 2020-09-02 2022-03-10 Yangtze Memory Technologies Co., Ltd. On-chip capacitor structures in semiconductor devices
CN112331662B (en) * 2020-11-11 2021-07-20 长江存储科技有限责任公司 Three-dimensional memory and preparation method thereof
CN112567519B (en) * 2020-11-13 2021-11-23 长江存储科技有限责任公司 Three-dimensional memory device and method of forming the same
JP2024512524A (en) * 2021-03-22 2024-03-19 長江存儲科技有限責任公司 Three-dimensional memory device and method for forming the same
CN113345909B (en) * 2021-05-31 2022-07-15 长江存储科技有限责任公司 Three-dimensional memory, preparation method of three-dimensional memory and storage system
CN113437075B (en) * 2021-06-21 2022-07-29 长江存储科技有限责任公司 Three-dimensional memory and manufacturing method thereof
KR20230158725A (en) * 2022-05-12 2023-11-21 삼성전자주식회사 Semiconductor memory device, method for fabricating the same and electronic system including the same
KR20230171802A (en) * 2022-06-14 2023-12-21 삼성전자주식회사 Semiconductor memory device and electronic system including the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109417076A (en) * 2018-10-09 2019-03-01 长江存储科技有限责任公司 Plug and forming method thereof between storehouse in three-dimensional storage part
CN110785851A (en) * 2017-08-04 2020-02-11 闪迪技术有限公司 Three-dimensional memory device employing direct source contact and hole current detection and method of fabricating the same
CN110896668A (en) * 2018-12-18 2020-03-20 长江存储科技有限责任公司 Multi-stack three-dimensional memory device and method of forming the same
CN110914991A (en) * 2018-12-18 2020-03-24 长江存储科技有限责任公司 Three-dimensional memory device with transferred interconnect layer and method of forming the same

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5283960B2 (en) 2008-04-23 2013-09-04 株式会社東芝 Three-dimensional stacked nonvolatile semiconductor memory
KR101113767B1 (en) 2009-10-19 2012-02-27 주식회사 하이닉스반도체 3d non-volatile memory device and method for operating and fabricating the same
US8908444B2 (en) 2012-08-13 2014-12-09 Sandisk Technologies Inc. Erase for 3D non-volatile memory with sequential selection of word lines
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
TWI515876B (en) 2013-10-24 2016-01-01 旺宏電子股份有限公司 Contact structure and forming method
JP6203152B2 (en) * 2014-09-12 2017-09-27 東芝メモリ株式会社 Manufacturing method of semiconductor memory device
US9524977B2 (en) 2015-04-15 2016-12-20 Sandisk Technologies Llc Metal-semiconductor alloy region for enhancing on current in a three-dimensional memory structure
KR102415401B1 (en) 2015-05-21 2022-07-01 삼성전자주식회사 3-dimsional semiconductor memory device and operation method thereof
US9425299B1 (en) 2015-06-08 2016-08-23 Sandisk Technologies Llc Three-dimensional memory device having a heterostructure quantum well channel
KR102461150B1 (en) 2015-09-18 2022-11-01 삼성전자주식회사 Three dimensional semiconductor device
US9601577B1 (en) 2015-10-08 2017-03-21 Samsung Electronics Co., Ltd. Three-dimensionally integrated circuit devices including oxidation suppression layers
US9620512B1 (en) 2015-10-28 2017-04-11 Sandisk Technologies Llc Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device
US9917100B2 (en) * 2015-11-20 2018-03-13 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9812454B2 (en) 2016-02-08 2017-11-07 Kilopass Technology, Inc. Methods and systems for reducing electrical disturb effects between thyristor memory cells using buried metal cathode lines
US10636806B2 (en) 2016-05-23 2020-04-28 SK Hynix Inc. Semiconductor device and manufacturing method thereof
KR102607833B1 (en) * 2016-05-23 2023-11-30 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method of the same
US9917093B2 (en) * 2016-06-28 2018-03-13 Sandisk Technologies Llc Inter-plane offset in backside contact via structures for a three-dimensional memory device
US9824966B1 (en) * 2016-08-12 2017-11-21 Sandisk Technologies Llc Three-dimensional memory device containing a lateral source contact and method of making the same
KR102609348B1 (en) 2016-10-26 2023-12-06 삼성전자주식회사 Semiconductor device and method for fabricating the same
US10020363B2 (en) * 2016-11-03 2018-07-10 Sandisk Technologies Llc Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device
US9875929B1 (en) 2017-01-23 2018-01-23 Sandisk Technologies Llc Three-dimensional memory device with annular blocking dielectrics and discrete charge storage elements and method of making thereof
CN106910746B (en) 2017-03-08 2018-06-19 长江存储科技有限责任公司 A kind of 3D nand memories part and its manufacturing method, packaging method
US10256245B2 (en) * 2017-03-10 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device with short-free source select gate contact via structure and method of making the same
US20180331118A1 (en) 2017-05-12 2018-11-15 Sandisk Technologies Llc Multi-layer barrier for cmos under array type memory device and method of making thereof
CN107658315B (en) 2017-08-21 2019-05-14 长江存储科技有限责任公司 Semiconductor device and preparation method thereof
CN110121779B (en) * 2017-08-21 2020-09-25 长江存储科技有限责任公司 Three-dimensional memory device and method for forming the same
US10199326B1 (en) 2017-10-05 2019-02-05 Sandisk Technologies Llc Three-dimensional memory device with driver circuitry on the backside of a substrate and method of making thereof
US10283513B1 (en) * 2017-11-06 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device with annular blocking dielectrics and method of making thereof
EP3893277A1 (en) * 2017-11-15 2021-10-13 SanDisk Technologies LLC Three-dimensional memory device with thickened word lines in terrace region and method of making thereof
KR102549967B1 (en) 2017-11-21 2023-06-30 삼성전자주식회사 Vertical memory devices and methods of manufacturing the same
CN107887395B (en) 2017-11-30 2018-12-14 长江存储科技有限责任公司 NAND memory and preparation method thereof
US10256252B1 (en) 2017-12-13 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device containing structurally reinforced pedestal channel portions and methods of making the same
US10510738B2 (en) 2018-01-17 2019-12-17 Sandisk Technologies Llc Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof
KR102614849B1 (en) * 2018-05-21 2023-12-18 삼성전자주식회사 3d semiconductor device including supporter and method of forming the same
US20190043868A1 (en) 2018-06-18 2019-02-07 Intel Corporation Three-dimensional (3d) memory with control circuitry and array in separately processed and bonded wafers
US10651153B2 (en) 2018-06-18 2020-05-12 Intel Corporation Three-dimensional (3D) memory with shared control circuitry using wafer-to-wafer bonding
KR102309462B1 (en) 2018-06-28 2021-10-06 양쯔 메모리 테크놀로지스 씨오., 엘티디. A three-dimensional memory device having a shielding layer and a method for forming a three-dimensional memory device
WO2020014981A1 (en) 2018-07-20 2020-01-23 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices
CN109314116B (en) * 2018-07-20 2019-10-01 长江存储科技有限责任公司 The method for being used to form three-dimensional storage part
KR102616051B1 (en) 2018-08-10 2023-12-21 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method thereof
CN109148461B (en) 2018-08-17 2021-02-12 长江存储科技有限责任公司 3D memory device and method of manufacturing the same
JP2020043273A (en) 2018-09-13 2020-03-19 キオクシア株式会社 Semiconductor storage device
CN109314113B (en) 2018-09-14 2020-04-28 长江存储科技有限责任公司 Three-dimensional memory device and method for forming the same
WO2020056664A1 (en) 2018-09-20 2020-03-26 Yangtze Memory Technologies Co., Ltd. Multi-stack three-dimensional memory devices
CN109346473B (en) 2018-09-21 2021-02-12 长江存储科技有限责任公司 3D memory device and method of manufacturing the same
CN109037227A (en) * 2018-09-21 2018-12-18 长江存储科技有限责任公司 3D memory device and its manufacturing method
US10553599B1 (en) * 2018-09-26 2020-02-04 Sandisk Technologies Llc Three-dimensional memory device containing drain select isolation structures and on-pitch channels and methods of making the same without an etch stop layer
CN113345912A (en) 2018-09-27 2021-09-03 长江存储科技有限责任公司 Semiconductor plug protected by protective dielectric layer in three-dimensional memory device and method of forming the same
KR102541001B1 (en) * 2018-09-28 2023-06-07 삼성전자주식회사 Vertical memory devices
CN109192734B (en) 2018-09-28 2020-10-16 长江存储科技有限责任公司 3D memory device
CN109192735B (en) 2018-10-15 2021-02-05 长江存储科技有限责任公司 3D memory device and method of manufacturing the same
CN109742080B (en) * 2018-12-03 2021-02-26 长江存储科技有限责任公司 Three-dimensional memory and preparation method thereof
WO2020113578A1 (en) 2018-12-07 2020-06-11 Yangtze Memory Technologies Co., Ltd. Novel 3d nand memory device and method of forming the same
CN109686739A (en) 2018-12-27 2019-04-26 长江存储科技有限责任公司 3D memory device and its manufacturing method
CN109712988A (en) * 2018-12-27 2019-05-03 长江存储科技有限责任公司 3D memory device and its manufacturing method
CN113707665A (en) * 2019-01-02 2021-11-26 长江存储科技有限责任公司 Memory and forming method thereof
US10665580B1 (en) 2019-01-08 2020-05-26 Sandisk Technologies Llc Bonded structure including a performance-optimized support chip and a stress-optimized three-dimensional memory chip and method for making the same
CN109786387B (en) * 2019-01-09 2023-10-17 长江存储科技有限责任公司 Memory, forming method thereof and memory cell selection method
KR20210076967A (en) 2019-01-18 2021-06-24 양쯔 메모리 테크놀로지스 씨오., 엘티디. Source contact structure of 3D memory device and manufacturing method thereof
US10727215B1 (en) 2019-01-30 2020-07-28 Sandisk Technologies Llc Three-dimensional memory device with logic signal routing through a memory die and methods of making the same
US11201107B2 (en) 2019-02-13 2021-12-14 Sandisk Technologies Llc Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer
CN109904170B (en) * 2019-02-14 2020-11-17 长江存储科技有限责任公司 Memory device and method of manufacturing the same
CN109860197B (en) * 2019-02-27 2020-04-21 长江存储科技有限责任公司 Three-dimensional memory and method for forming three-dimensional memory
US10790300B2 (en) 2019-03-01 2020-09-29 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
WO2020177049A1 (en) * 2019-03-04 2020-09-10 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory devices
KR20210137533A (en) * 2019-04-12 2021-11-17 양쯔 메모리 테크놀로지스 씨오., 엘티디. Three-dimensional memory device with deposited semiconductor plugs and methods for forming same
KR102601225B1 (en) 2019-04-15 2023-11-10 양쯔 메모리 테크놀로지스 씨오., 엘티디. Integration of 3D NAND memory devices with multiple functional chips
CN110870062A (en) 2019-04-30 2020-03-06 长江存储科技有限责任公司 Bonded semiconductor device with programmable logic device and NAND flash memory and method of forming the same
CN110246846A (en) * 2019-06-18 2019-09-17 长江存储科技有限责任公司 A kind of 3D nand memory part and its manufacturing method
CN110349966B (en) 2019-06-27 2020-05-26 长江存储科技有限责任公司 Manufacturing method of 3D memory device and 3D memory device
CN110364536B (en) 2019-07-23 2020-06-26 长江存储科技有限责任公司 Method for manufacturing three-dimensional memory and three-dimensional memory
CN114188335A (en) 2019-10-17 2022-03-15 长江存储科技有限责任公司 Three-dimensional memory device
CN110945657A (en) * 2019-10-22 2020-03-31 长江存储科技有限责任公司 Three-dimensional memory device with pocket structure in memory string and method of forming the same
US11101288B2 (en) 2019-12-11 2021-08-24 Sandisk Technologies Llc Three-dimensional memory device containing plural work function word lines and methods of forming the same
KR20210137123A (en) 2020-04-14 2021-11-17 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3D Memory Device with Backside Source Contacts

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110785851A (en) * 2017-08-04 2020-02-11 闪迪技术有限公司 Three-dimensional memory device employing direct source contact and hole current detection and method of fabricating the same
CN109417076A (en) * 2018-10-09 2019-03-01 长江存储科技有限责任公司 Plug and forming method thereof between storehouse in three-dimensional storage part
CN110896668A (en) * 2018-12-18 2020-03-20 长江存储科技有限责任公司 Multi-stack three-dimensional memory device and method of forming the same
CN110914991A (en) * 2018-12-18 2020-03-24 长江存储科技有限责任公司 Three-dimensional memory device with transferred interconnect layer and method of forming the same

Also Published As

Publication number Publication date
CN111801797B (en) 2021-05-25
TWI756737B (en) 2022-03-01
CN111801797A (en) 2020-10-20
CN113410243A (en) 2021-09-17
WO2021237492A1 (en) 2021-12-02
EP3942612B1 (en) 2024-01-03
TW202145519A (en) 2021-12-01
JP2022539284A (en) 2022-09-08
US11462560B2 (en) 2022-10-04
JP7273183B2 (en) 2023-05-12
EP3942612A4 (en) 2022-07-20
US20210375914A1 (en) 2021-12-02
EP3942612A1 (en) 2022-01-26
KR20210149074A (en) 2021-12-08

Similar Documents

Publication Publication Date Title
CN111566815B (en) Three-dimensional memory device with backside source contact
CN113410243B (en) Method for forming three-dimensional memory device
CN113506809B (en) Method for forming three-dimensional memory device with backside source contact
CN111801798B (en) Three-dimensional memory device
CN111801799B (en) Method for forming three-dimensional memory device
CN111758164B (en) Three-dimensional memory device and method for forming the same
CN111801800B (en) Three-dimensional memory device
CN112041986B (en) Method for forming three-dimensional memory device having support structure for stepped region
CN112041986A (en) Method for forming three-dimensional memory device having support structure for staircase region

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant