CN113270403A - 半导体器件和制造方法 - Google Patents

半导体器件和制造方法 Download PDF

Info

Publication number
CN113270403A
CN113270403A CN202010894273.0A CN202010894273A CN113270403A CN 113270403 A CN113270403 A CN 113270403A CN 202010894273 A CN202010894273 A CN 202010894273A CN 113270403 A CN113270403 A CN 113270403A
Authority
CN
China
Prior art keywords
metal
layer
gate
gate dielectric
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010894273.0A
Other languages
English (en)
Inventor
李欣怡
洪正隆
陈智城
张文
徐志安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113270403A publication Critical patent/CN113270403A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Bipolar Transistors (AREA)

Abstract

本公开涉及半导体器件和制造方法。公开了一种具有不同栅极结构配置的半导体器件及其制造方法。该半导体器件包括:设置在衬底上的鳍结构;设置在所述鳍结构上的纳米结构沟道区域;以及栅极环绕式(GAA)结构,围绕所述纳米结构沟道区域。所述GAA结构包括:具有金属掺杂区域的高K(HK)栅极电介质层,所述金属掺杂区域具有第一金属材料的掺杂剂;设置在所述HK栅极电介质层上的p型功函数金属(pWFM)层;插入在所述HK栅极电介质层和所述pWFM层之间的双金属氮化物层;设置在所述pWFM层上的n型功函数金属(nWFM)层;以及设置在所述nWFM层上的栅极金属填充层。所述pWFM层包括第二金属材料,并且所述双金属氮化物层包括所述第一金属材料和所述第二金属材料。

Description

半导体器件和制造方法
技术领域
本申请涉及半导体器件和制造方法。
背景技术
随着半导体技术的进步,对更高的存储容量、更快的处理系统、更高的性能和更低的成本的需求越来越大。为了满足这些需求,半导体工业持续缩小半导体器件的尺寸,这些半导体器件例如是金属氧化物半导体场效应晶体管(MOSFET),包括平面MOSFET和鳍式场效应晶体管(finFET)。这种缩小增加了半导体制造工艺的复杂性。
发明内容
根据本公开的一个实施例,提供了一种半导体器件,包括:衬底;设置在所述衬底上的鳍结构;设置在所述鳍结构上的纳米结构沟道区域;以及栅极环绕式GAA结构,围绕所述纳米结构沟道区域,其中,所述GAA结构包括:具有金属掺杂区域的高K HK栅极电介质层,所述金属掺杂区域具有第一金属材料的掺杂剂;设置在所述HK栅极电介质层上的第一功函数金属WFM层,其中,所述第一WFM层包括第二金属材料;插入在所述HK栅极电介质层和所述第一WFM层之间的双金属氮化物层,其中,所述双金属氮化物层包括所述第一金属材料和所述第二金属材料;设置在所述第一WFM层上的第二WFM层;以及设置在所述第二WFM层上的栅极金属填充层。
根据本公开的另一实施例,提供了一种半导体器件,包括:衬底;p型FET,具有设置在所述衬底上的第一栅极结构;以及n型FET,具有设置在所述衬底上的第二栅极结构,其中,所述第一栅极结构和所述第二栅极结构包括:具有金属掺杂区域的高K HK栅极电介质层,所述金属掺杂区域具有第一金属的掺杂剂,n型功函数金属nWFM层,设置在所述HK栅极电介质层上,以及栅极金属填充层,设置在所述nWFM层上,并且其中,所述第一栅极结构包括p型功函数金属pWFM层以及插入在所述HK栅极电介质层和所述nWFM层之间的双金属氮化物层,所述pWFM具有第二金属,并且所述双金属氮化物层具有所述第一金属和所述第二金属。
根据本公开的又一实施例,提供了一种用于制造半导体器件的方法,包括:在鳍结构上形成纳米结构沟道区域;形成围绕所述纳米结构沟道区域的栅极开口;在所述栅极开口内沉积高K HK栅极电介质层,其中,所述HK栅极电介质层包括第一金属;在所述HK栅极电介质层内形成具有第二金属的掺杂剂的金属掺杂区域,其中,所述第二金属不同于所述第一金属;在所述HK栅极电介质层上形成双金属氮化物层;在所述双金属氮化物层上沉积p型功函数金属pWFM层,其中,所述pWFM层包括与所述第一金属和所述第二金属不同的第三金属,并且所述双金属氮化物层包括所述第二金属和所述第三金属;在所述pWFM层上沉积n型功函数金属nWFM层;以及在所述nWFM层上沉积栅极金属填充层。
附图说明
当与附图一起阅读时,根据以下详细描述将最好地理解本公开的各个方面。
图1A、图1B-1C和图1D-1G示出了根据一些实施例的具有不同栅极结构的半导体器件的等距视图、截面视图和器件特性。
图2是根据一些实施例的用于制造具有不同栅极结构的半导体器件的方法的流程图。
图3A-11B示出了根据一些实施例的在其制造工艺的各个阶段具有不同栅极结构的半导体器件的截面视图。
图12是根据一些实施例的用于制造具有不同栅极结构的半导体器件的方法的流程图。
图13A-17B示出了根据一些实施例的在其制造工艺的各个阶段具有不同栅极结构的半导体器件的截面视图。
现在将参考附图描述说明性实施例。在附图中,类似的附图标记通常指示相同、功能相似和/或结构相似的元素。
具体实施方式
以下公开提供了用于实现所提供的主题的不同特征的许多不同实施例或示例。下面描述了组件和布置的特定示例以简化本公开。当然,这些仅仅是示例,而并不是要进行限制。例如,在下面的描述中,用于在第二特征之上形成第一特征的工艺可以包括第一特征和第二特征直接接触形成的实施例,并且还可以包括可以在第一特征和第二特征之间形成附加特征的实施例,使得第一特征和第二特征可以不直接接触的实施例。如本文所使用的,在第二特征上形成第一特征表示第一特征被形成为直接与第二特征接触。此外,本公开可以在各种示例中重复参考数字/字母。这种重复本身并不指示所讨论的各种实施例和/或配置之间的关系。
为了便于描述,可以在本文中使用空间相关术语,例如“下面”、“下方”、“下”、“上方”、“上”等,来描述如图中所示的一个元素或特征与另一个(或多个)元素或特征的关系。除了图中所描绘的定向之外,空间相关术语还旨在包含正在使用或操作的器件的不同定向。装置可以以其他方式定向(旋转90度或在其他定向上),并且本文使用的空间相对描述符也可以被相应地解释。
要注意,说明书中对“一个实施例”、“实施例”、“示例实施例”、“示例性”等的引用指示所描述的实施例可以包括特定特征、结构或特性,但是每个实施例不一定包括特定特征、结构或特性。此外,这样的短语不一定是指同一实施例。此外,当结合实施例描述特定特征、结构或特性时,结合其他实施例(无论是否明确描述)实现这种特征、结构或特征在本领域技术人员的认知范围内。
要理解的是,本文中的措辞或术语是为了描述的目的而非是要进行限制,因此本说明书的术语或措辞将由(一个或多个)相关领域的技术人员根据本文的教导来解释。
如本文所使用的,术语“高k”指的是高介电常数。在半导体器件结构和制造工艺的领域中,高k指的是大于SiO2的介电常数(例如大于3.9)的介电常数。
如本文所使用的,术语“低k”指的是低介电常数。在半导体器件结构和制造工艺的领域中,低k指的是小于SiO2的介电常数(例如小于3.9)的介电常数。
如本文所使用的,术语“p型”将结构、层和/或区域限定为掺杂有p型掺杂剂,例如硼。
如本文所使用的,术语“n型”将结构、层和/或区域限定为掺杂有n型掺杂剂,例如磷。
如本文所使用的,术语“纳米结构”将结构、层和/或区域限定为具有小于例如100nm的水平尺寸(例如,沿X轴和/或Y轴)和/或竖直尺寸(例如,沿Z轴)。
如本文所使用的,术语“n型功函数金属(nWFM)”限定具有更接近FET沟道区域的材料的导带能量而非价带能量的功函数值的金属或含金属材料。在一些实施例中,术语“n型功函数金属(nWFM)”限定功函数值小于4.5eV的金属或含金属材料。
如本文所使用的,术语“p型功函数金属(pWFM)”限定具有更接近FET沟道区域的材料的价带能量而非导带能量的功函数值的金属或含金属材料。在一些实施例中,术语“p型功函数金属(pWFM)”限定功函数值等于或大于4.5eV的金属或含金属材料。
在一些实施例中,术语“约”和“基本上”可以表示在给定量的值的5%范围内(例如,值的±1%、±2%、±3%、±4%、±5%)变化的值。这些值仅仅是示例,并不旨在进行限制。术语“约”和“基本上”可以指由(一个或多个)相关领域的技术人员根据本文的教导所解释的值的百分比。
如本文所使用的,术语“多阈值电压(multi-Vt)器件”限定具有两个或更多个FET的半导体器件,其中两个或更多个FET中的每一个具有彼此不同的阈值电压。
本文所公开的鳍结构可以通过任何合适的方法来图案化。例如,可以使用一种或多种光刻工艺(包括双图案化工艺或多图案化工艺)来对鳍结构进行图案化。双图案化工艺或多图案化工艺可以结合光刻工艺和自对准工艺,使得图案被创建为具有例如比以其他方式使用单一直接光刻工艺可获得的间距更小的间距。例如,在衬底之上形成牺牲层并使用光刻工艺来图案化。使用自对准工艺沿着图案化牺牲层形成间隔体。然后去除牺牲层,剩下的间隔体可用于图案化鳍结构。
导通场效应晶体管(FET)所需的栅电压(阈值电压(Vt))可以取决于FET沟道区域的半导体材料和/或FET栅极结构的有效功函数(EWF)值。例如,对于n型FET(NFET),减小NFET栅极结构的(一个或多个)EWF值与NFET沟道区域的材料的导带能量(例如,Si为4.1eV或SiGe为3.8eV)之间的差可以降低NFET阈值电压。对于p型FET(PFET),减小PFET栅极结构的(一个或多个)EWF值与PFET沟道区域的材料的价带能量(例如,Si为5.2eV或SiGe为4.8eV)之间的差可以降低PFET阈值电压。FET栅极结构的EWF值可以取决于FET栅极结构的每个层的厚度和/或材料成分。因此,可以通过调整FET栅极结构的厚度和/或材料成分来制造具有不同阈值电压的FET。
由于对多功能便携式器件的需求的不断增加,对同一衬底上具有不同阈值电压的FET的需求也越来越大。实现这种多阈值电压器件的一种方式可以是在FET栅极结构中采用不同的功函数金属(WFM)层厚度。然而,不同的WFM层厚度可能受到FET栅极结构几何结构的限制。例如,在栅极环绕式(gate-all-around,GAA)FET中,WFM层厚度可能受到GAAFET的纳米结构沟道区域之间的间隔的限制。此外,随着FET(例如,GAA FET和/或finFET)的不断缩小,沉积不同的WFM层厚度也可能变得越来越困难。
本公开提供了包括具有彼此不同的阈值电压的FET(例如GAA FET)的示例多阈值电压器件,并且提供了在同一衬底上形成这样的FET的示例方法。示例方法在同一衬底上形成具有类似材料和厚度的WFM层但具有不同阈值电压的PFET。与在同一衬底上形成具有类似的沟道尺寸和阈值电压的FET的其他方法相比,这些示例方法在制造具有不同阈值电压的可靠FET栅极结构方面可以更具成本效益(例如,成本降低约20%至30%)和时间效率(例如,时间减少约15%至20%)。此外,与形成具有类似阈值电压的FET的其他方法相比,这些示例方法可以形成尺寸小得多的FET栅极结构(例如,更薄的栅极堆叠)。
在一些实施例中,具有不同栅极结构配置但具有类似WFM层的PFET可以选择性地在同一衬底上形成以实现彼此不同的阈值电压。不同的栅极结构可以具有(i)高K(HK)栅极电介质层内的不同金属浓度的金属掺杂区域和(ii)HK栅极电介质层和WFM层之间的不同金属浓度的双金属氮化物层。不同的金属浓度产生具有不同的EWF值和阈值电压的栅极结构。在一些实施例中,在约2原子%至约10原子%的范围内改变金属浓度可引起EWF值的约±80mV的偏移,以及阈值电压的约±50mV的偏移。因此,调节HK栅极电介质层内的、以及HK栅极电介质层与WFM层之间的金属浓度可以调节PFET栅极结构的EWF值,从而可以在不改变WFM层的材料和/或厚度的情况下调整PFET的阈值电压。
参考图1A-1G描述了根据一些实施例的具有PFET 102P1-102P4和NFET 102N1-102N4的半导体器件100。图1A示出了根据一些实施例的半导体器件100的等距视图。图1B和1C示出了根据一些实施例的沿着图1A的半导体器件100的相应线A-A和B-B的截面视图。图1E-1G示出了根据一些实施例的半导体器件100的器件特性。除非另有说明,否则PFET102P1的讨论适用于102P2-102P4,并且NFET 102N1的讨论适用于NFET102N2-102N4。除非另有说明,否则对具有相同标记的PFET 102P1-102P4和NFET 102N1-102N4的元件的讨论彼此适用。
参考图1A-1C,半导体器件100可以形成在衬底106上。衬底106可以是半导体材料,例如硅、锗(Ge)、硅锗(SiGe)、绝缘体上硅(SOI)结构及其组合。此外,衬底106可以掺杂有p型掺杂剂(例如,硼、铟、铝或镓)或n型掺杂剂(例如,磷或砷)。
PFET 102P1和NFET 102N1可以包括:沿着X轴延伸的鳍结构108P-108N;设置在相应的鳍结构108P-108N上的外延区域110P-110N;设置在相应的外延区域110P-110N之间的纳米结构沟道区域120P-120N;围绕相应的纳米结构沟道区域120P-120N的栅极结构112P-112N;内部间隔体113;以及栅极间隔体114。
在一些实施例中,鳍结构108P-108N可以包括类似于衬底106的材料。纳米结构沟道区域120P-120N可以包括与衬底106相似或不同的半导体材料,并且可以包括彼此相似或不同的半导体材料。在一些实施例中,纳米结构沟道区域120N可以包括Si、SiAs、磷化硅(SiP)、SiC或磷化硅碳(SiCP),并且纳米结构沟道区域120P可以包括SiGe、硅锗硼(SiGeB)、锗硼(GeB)、硅锗锡硼(SiGeSnB)或III-V半导体化合物。在一些实施例中,纳米结构沟道区域120P-120N都可以包括Si、SiAs、SiP、SiC、SiCP、SiGe、SiGeB、GeB、SiGeSnB或III-V半导体化合物。虽然示出了纳米结构沟道区域120P-120N的矩形截面,但是纳米结构沟道区域120P-120N可以具有其他几何形状(例如圆形、椭圆形、三角形或多边形)的截面。
外延区域110P-110N可以在相应的鳍结构108P-108N上生长,并且可以是PFET102P1和NFET 102N1的源极/漏极(S/D)区域。外延区域110P-110N可以包括彼此相似或不同的外延生长半导体材料。在一些实施例中,外延生长的半导体材料可以包括与衬底106的材料相同或不同的材料。外延区域110P和110N可以分别是p型和n型。在一些实施例中,n型外延区域110N可以包括SiAs、SiC或SiCP。P型外延区域110P可以包括SiGe、SiGeB、GeB、SiGeSnB、III-V半导体化合物或其组合。
栅极结构112P-112N可以是多层结构。栅极结构112P-112N可以环绕相应的纳米结构沟道区域120P-120N,对此栅极结构112P-112N可以被称为“栅极环绕式(GAA)结构”或“水平栅极环绕式(HGAA)结构”。PFET 102P1和NFET 102N1可以被称为“GAA PFET 102P1和NFET102N1”。在一些实施例中,PFET 102P1和NFET 102N1可以是finFET并且具有鳍区域(未示出)而不是纳米结构沟道区域120P-120N。这样的finFET 120P1-120N1可以具有设置在鳍区域上的相应的栅极结构112P-112N。
栅极结构112P-112N可以包括:(i)界面氧化物(IO)层127;(ii)HK栅极电介质层128P-128N;(iii)金属掺杂区域128Pd-128Nd;(iv)n型WFM(“nWFM”)层131;(vii)粘合层(gule layer)132;和(viii)栅极金属填充层133。栅极结构112P还可以包括双金属氮化物层129和p型WFM(“pWFM”)层130。尽管图1B-1C示出栅极结构112P的所有层环绕纳米结构沟道区域120P,但纳米结构沟道区域120P可以至少被IO层127和HK栅极电介质层128P环绕,以填充相邻的纳米结构沟道区域120P之间的空间。因此,纳米结构沟道区域120P可以彼此电隔离,以防止在PFET 102P1的操作期间栅极结构112P和S/D区域110P之间的短路。类似地,纳米结构沟道区域120N可以至少由IO层127和HK栅极电介质层128N环绕以使纳米结构沟道区域120N彼此电隔离,以防止在NFET102N1的操作期间栅极结构112N和S/D区域110N之间的短路。
IO层127可以设置在纳米结构沟道区域120P-120N上。在一些实施例中,IO层127可以包括氧化硅(SiO2)、氧化硅锗(SiGeOx)或氧化锗(GeOx),并且厚度范围为约0.5nm至约1.5nm。
HK栅极电介质层128P-128N可以设置在IO层127上。HK栅极电介质层128P-128N中的每一个可以具有约为IO层127的厚度的2至3倍的厚度(例如,约1nm至约3nm),并且可以包括:(i)高k电介质材料,例如氧化铪(HfO2)、氧化钛(TiO2)、氧化铪锆(HfZrO)、氧化钽(Ta2O3)、硅酸铪(HfSiO4)、氧化锆(ZrO2)和硅酸锆(ZrSiO2);以及(ii)具有以下各项的氧化物的高k电介质材料:锂(Li)、铍(Be)、镁(Mg)、钙(Ca)、锶(Sr)、钪(Sc)、钇(Y)、锆(Zr)、铝(Al)、镧(La)、铈(Ce)、镨(Pr)、钕(Nd)、钐(Sm)、铕(Eu),钆(Gd)、铽(Tb)、镝(Dy)、钬(Ho)、铒(Er)、铥(Tm)、镱(Yb)、镥(Lu);或(iii)它们的组合。
HK栅极电介质层128P-128N可以包括相应的金属掺杂区域128Pd-128Nd。在一些实施例中,金属掺杂区域128Pd-128Nd可以包括以下掺杂剂:(i)来自周期表的IIA族(例如,镁(Mg)或锶(Sr))、IIIA族(例如,铝(Al))、IIIB族(例如,钇(Y))或IVB族(例如,锆(Zr))的金属;(ii)稀土金属,例如镧(La)、钇(Y)、钪(Sc)、铈(Ce)、镱(Yb)、铒(Er)、镝(Dy)和镥(Lu);或(iii)它们的组合。在一些实施例中,金属掺杂区域128Pd-128Nd可以包括Al掺杂剂或La掺杂剂。在一些实施例中,作为如下将进一步详细描述的用于形成PFET 102P1和NFET102N1的制造工艺(例如,方法200)的结果,金属掺杂区域128Nd可能不存在于HK栅极电介质层128N中(如图17B所示)。
双金属氮化物层129可以设置在HK栅极电介质层128P上。在一些实施例中,双金属氮化物层129可以包括(i)与被包括在金属掺杂区域128Pd中的掺杂剂材料相同的第一金属,以及(ii)与被包括在pWFM层130中的金属相同的第二金属。在一些实施例中,pWFM层130可以包括金属材料,该金属材料具有更接近纳米结构沟道区域120P的材料的价带边缘能量而非导带边缘能量的功函数值。例如,pWFM层130可以包括基本上不含Al(例如,没有Al)的金属材料,该金属材料具有等于或大于4.5eV(例如,约4.5eV至约5.5eV)的功函数值,该功函数值可以更接近纳米结构沟道区域120P的价带边缘能量(例如,Si的5.2eV)而非导带边缘能量(例如,Si的4.1eV)。
在一些实施例中,pWFM层130可以包括(i)基本上不含Al(例如,没有Al)的Ti基氮化物或合金,例如氮化钛(TiN)、氮化钛硅(TiSiN)、钛金(Ti-Au)合金、钛铜(Ti-Cu)合金、钛铬(Ti-Cr)合金、钛钴(Ti-Co)合金、钛钼(Ti-Mo)合金以及钛镍(Ti-Ni)合金;(ii)基本上不含Al(例如,没有Al)的Ta基氮化物或合金,例如氮化钽(TaN)、氮化钽硅(TaSiN)、钽金(Ta-Au)合金、钽铜(Ta-Cu)合金、钽钨(Ta-W)合金、钽铂(Ta-Pt)合金、钽钼(Ta-Mo)合金和钽镍(Ta-Ni)合金;或(iii)它们的组合。在一些实施例中,pWFM层130可以包括从约1nm到约3nm范围内的厚度。在该范围内的厚度可允许pWFM层130环绕纳米结构沟道区域120P,而不受相邻的纳米结构沟道区域120P之间的间距的限制。
pWFM层130的功函数值可以向PFET 102P1的栅极结构112P引入EWF值。通过控制金属掺杂区域128Pd中的金属掺杂剂的浓度和/或双金属氮化物层129中第一金属的浓度,可以调整栅极结构112P的该EWF值,而不改变pWFM层130的厚度。另外,通过控制金属掺杂剂和/或第一金属的浓度,具有类似pWFM层130的PFET 102P1-102P4可以被配置为具有包括彼此不同的EWF值的栅极结构112P。由于栅极结构的EWF值对应于FET的阈值电压,因此具有不同EWF值的栅极结构112P在同一衬底106上产生具有不同阈值电压的PFET 102P1-102P4。
在一些实施例中,通过控制金属掺杂剂和/或第一金属的浓度,可以在约±80mV的范围内调整栅极结构112P的EWF值。在约±80mv的范围内调整EWF值可以在约±50mv的范围内调整栅极结构112P的阈值。这种调整范围可以通过下列项来实现:双金属氮化物层129中的第一金属(例如,Al)的浓度在约2原子%到约10原子%范围内、和/或HK栅极电介质层128P的金属掺杂剂(例如,Al掺杂剂)与金属材料(“HK金属”,例如Hf)的浓度比在约0.05到约0.5范围内。
在一些实施例中,金属掺杂区域128Pd可以从HK栅极电介质层128P的顶表面在HK栅极电介质层128P中延伸约0.1nm至约2nm的距离D1。在一些实施例中,双金属氮化物层129可以具有约0.1nm至约1nm的厚度T1,并且厚度T1可以小于距离D1。距离D1和厚度T1的这些范围足以控制金属掺杂剂和第一金属的相应浓度。如果距离D1小于约0.1nm,则金属掺杂区域128Pd的金属掺杂剂与HK金属的浓度比可能不在约0.05到约0.5的范围内,而不足以调整栅极结构112P的EWF值。类似地,如果厚度T1小于约0.1nm,则双金属氮化物层129的第一金属的浓度可能不在约2原子%至约10原子%的范围内,而不足以调整栅极结构112P的EWF值。另一方面,如果距离D1和/或厚度T1大于上述范围,则工艺时间(例如,掺杂工艺时间)增加,从而相应地增加了器件制造成本。
参考图1D-1E,在一些实施例中,金属掺杂区域128Pd中的金属掺杂剂和双金属氮化物层129中的第一金属的浓度分布沿着图1B的线C-C可以基本上恒定。在一些实施例中,如图1D所示,金属掺杂剂和第一金属的浓度可以彼此相等,或如图1E所示,金属掺杂剂的浓度可以大于第一金属的浓度。参考图1F,在一些实施例中,金属掺杂剂和第一金属的浓度分布沿着图1B的线C-C可以分级,并且金属掺杂剂的浓度可以大于第一金属的浓度。
参考图1G,在一些实施例中,IO层127包括氧化硅(SiO2),HK栅极电介质层128P包括氧化铪(HfO2)(金属掺杂区域128Pd中具有Al掺杂剂),双金属氮化物层129包括氮化钛铝(TiAlN),并且pWFM层130包括TiN。图1G示出了根据一些实施例的在这些层上的硅、氧、铪、铝、钛和氮原子沿着图1B的线C-C的浓度分布。如图1G所示,金属掺杂区域128Pd中的铝浓度可以高于双金属氮化物层129中的铝浓度。
返回参考图1B-1C,在一些实施例中,nWFM层131可以包括钛铝(TiAl)、碳化钛铝(TiAlC)、钽铝(TaAl)、碳化钽铝(TaAlC)或其组合。粘合层132可包括TiN、Ti、Co或其组合。栅极金属填充层133可以包括合适的导电材料,例如W、Ti、银(Ag)、钌(Ru)、钼(Mo)、铜(Cu)、钴(Co)、Al、铱(Ir)、镍(Ni)、金属合金及其组合。栅极间隔体114和内部间隔体113可以形成栅极结构112P-112N的侧壁。栅极间隔体114和内部间隔体113中的每一个都可以包括绝缘材料,例如氧化硅、氮化硅、氮氧化硅、低k材料及其组合。
半导体器件100可以进一步包括隔离结构104、蚀刻停止层(ESL)116、层间电介质层(ILD)118和浅沟槽隔离(STI)区域138。隔离结构104能够将PFET 102P1-102P4和NFET102N1-102N4彼此电隔离。ESL 116可以被配置为保护栅极结构112P-112N和/或S/D区域110P-110N。在一些实施例中,隔离结构104和ESL 116可以包括绝缘材料,例如氧化硅和氧化硅锗。ILD层118可以设置在ESL 116上并且可以包括电介质材料。STI区域138可以被配置为在PFET 102P1-102P4和NFET 102N1-102N4之间提供电隔离,并且可以包括绝缘材料。
图2是根据一些实施例的用于制造半导体器件100的示例方法200的流程图。为了说明性目的,将参考如图3A-11B所示的用于制造半导体器件100的示例制造工艺来描述图2所示的操作。图3A-11B是根据一些实施例的在各种制造阶段的半导体器件100沿着线A-A和B-B的截面视图。根据特定的应用,可以以不同的顺序执行操作,或者不执行操作。应当注意,方法200可能不会产生完整的半导体器件100。因此,可以理解的是,可以在方法200之前、期间和之后提供附加工艺,并且一些其他工艺可能只在在本文中简要描述。上文描述了图3A-11B中具有与图1A-1C中元素相同的标记的元素。
在操作205中,在PFET和NFET的鳍结构上形成多晶硅结构和外延区域。例如,如图3A-3B所示,多晶硅结构312可以形成在超晶格结构119P-119N上,超晶格结构119P-119N形成在鳍结构108P-108N上。超晶格结构119P可以包括以交替配置布置的纳米结构区域120P-122P,并且超晶格结构119N可以包括以交替配置布置的纳米结构区域120N-122N。在随后的工艺期间,多晶硅结构312和纳米结构区域122P-122N可以在栅极替换工艺中被替换以形成栅极结构112P-112N。在形成内部间隔体113和栅极间隔体114之后,外延区域110P-110N可以选择性地形成在鳍结构108P-108N的不在多晶硅结构312下面的部分上。在形成外延区域110P-110N之后,可以形成ESL 116和ILD 118以形成图3A-3B的结构。
参考图2,在操作210中,在鳍结构上形成栅极开口。例如,如图4A-4B所示,栅极开口412A-412B可以形成在鳍结构108P-108N上。栅极开口412A-412B的形成可以包括以下顺序操作:(i)从图3A-3B的结构蚀刻多晶硅结构312,和(ii)从图3A-3B的结构刻蚀纳米结构区域122P-122N。
参考图2,在操作215-235中,栅极环绕式(GAA)结构形成在栅极开口中。例如,基于操作215-235,栅极结构112P-112N可以围绕纳米结构沟道区域120P-120N形成,如参考图5A-11B所述。
参考图2,在操作215中,界面氧化物层和HK栅极电介质层沉积在栅极开口内。例如,如图5A-5B所示,IO层127和HK栅极电介质层128可以沉积在图4A-4B的栅极开口412A-412B内。在随后的工艺期间,HK栅极电介质层128可以形成图1B-1C的HK栅极电介质层128P-128N。在一些实施例中,可以通过将纳米结构沟道区域120P-120N暴露于氧化环境来形成IO层127。氧化环境可以包括下列项的组合:臭氧(O3);氢氧化氨、过氧化氢和水的混合物(“SC1溶液”);和/或盐酸、过氧化氢和水的混合物(“SC2溶液”)。HK栅极电介质层128的沉积可以包括在约250℃至约350℃的温度下,使用氯化铪(HfCl4)作为前体在原子层沉积(ALD)工艺中沉积HK电介质材料。在一些实施例中,栅极电介质层128可以具有约1nm到约3nm的厚度来环绕纳米结构沟道区域120P-120N,而不受相邻的纳米结构沟道区域120P之间和相邻纳的米结构沟道区域120N之间的间距的约束。
参考图2,在操作220中,在HK栅极电介质层内形成金属掺杂区域。例如,如图7A-7B所示,金属掺杂区域128Pd-128Nd可以形成在HK栅极电介质层128内。金属掺杂区域128Pd-128Nd的形成可以包括以下顺序操作:(i)用金属前体气体538浸渍图5A-5B的结构,和(ii)用金属前体气体642浸渍图6A-6B的结构。在一些实施例中,采用金属前体气体538的浸渍工艺可以包括:在约350℃至约500℃的温度和约500标准立方厘米(sccm)至约9000sccm的压力下,使四氯化钛(TiCl4)作为金属前体气体538在图5A-5B的结构上流动约0.1秒至约5秒的持续时间。在采用金属前体气体538的处理期间,可以在图5A-5B的结构上沉积具有Ti且厚度约为0.1nm至约0.5nm的金属层640,如图6A-6B所示。
在一些实施例中,采用金属前体气体642的浸渍工艺可以包括:在约350℃至约500℃的温度和约2000sccm至约9000sccm的压力下,使三乙基铝(TEA)或三甲基铝(TMA)作为金属前体气体642在图6A-6B的结构上流动约0.5秒至约60秒的持续时间。在采用金属前体气体642的处理期间,(i)金属掺杂区域128Pd-128Nd可以形成在HK栅极电介质层128内,(ii)金属层640可以转换为具有TiAl的金属层740,以及(iii)具有Al且厚度约为0.1nm至约0.5nm的金属层744可以沉积在金属层740上,如图7A-7B所示。
参考图2,在操作225中,在HK栅极电介质层上形成双金属氮化物层和pWFM层。例如,如图8A-8B所示,可以在HK栅极电介质层128上形成双金属氮化物层129和pWFM层130。在一些实施例中,pWFM层130的形成可以包括:在约350℃至约475℃的温度下,使用TiCl4和氨(NH3)作为前体在ALD工艺中沉积厚度为约1nm至约3nm的TiN层。在一些实施例中,用于沉积TiN层的ALD工艺可以包括约30个周期至约90个周期,其中一个周期可以包括以下顺序时间段:(i)TiCl4气体流动,(ii)TiCl4气体净化工艺,(iii)NH3气体流动,以及(iv)NH3气体净化工艺。
在一些实施例中,ALD工艺TiCl4气体可以与金属层740的TiAl反应以转换为双金属氮化物层129A的TiAlN,并且ALD工艺NH3气体可以与金属层744的Al反应以转换为双金属氮化物层129B的TiAlN。因此,在用于形成pWFM层130的ALD工艺期间,可以通过将金属层740和744转换为相应的双金属氮化物层129A和129B来形成双金属氮化物层129,如图8A-8B所示。可以与金属层740和744的氮化原位地执行操作220-225。
参考图2,在操作230中,从NFET选择性地去除双金属氮化物层和pWFM层的部分。例如,如图9A-9B所示,可以从NFET 102N1中去除双金属氮化物层129和pWFM层130的部分。选择性去除工艺可以包括以下顺序操作:(i)在PFET 102P1上的双金属氮化物层129和pWFM层130的部分上图案化掩蔽层946(例如,光致抗蚀剂层),如图9A-9B所示,(ii)从NFET 102N1蚀刻pWFM层130的部分,以及(iii)从NFET102N1蚀刻双金属层129的部分,以形成图9B的结构。在一些实施例中,操作230可以是非原位操作。
参考图2,在操作235中,在PFET的pWFM层上并在NFET的HK电介质层上形成nWFM层、粘合层和栅极金属填充层。例如,如图10A-11B所示,可以形成nWFM层131、粘合层132和栅极金属填充层133。在一些实施例中,nWFM层131的形成可以包括:在约350℃至约475℃的温度下,使用TiCl4和TEA或TMA作为前体在ALD工艺中沉积厚度为约1nm至约3nm的TiAl层。在一些实施例中,用于沉积TiAl层的ALD工艺可以包括约4个周期至约12个周期,其中一个周期可以包括以下顺序时间段:(i)TiCl4气流动动,(ii)TiCl4气体净化工艺,(iii)TEA或TMA气体流动,以及(iv)TEA或TMA气体净化工艺。在形成nWFM层130之后,可以沉积粘合层132和栅极金属填充层133,如图10A-10B所示,随后可以进行化学机械抛光,以形成图11A-11B的结构。
图12是根据一些实施例的用于制造半导体器件100的示例方法1200的流程图。为了说明性目的,将参考如图13A-17B所示的用于制造半导体器件100的示例制造工艺来描述图12所示的操作。图13A-17B是根据一些实施例的在各种制造阶段的半导体器件100沿着线A-A和B-B的截面视图。根据特定的应用,可以以不同的顺序执行操作,或者不执行操作。应当注意,方法1200可能不会产生完整的半导体器件100。因此,可以理解的是,可以在方法1200之前、期间和之后提供附加工艺,并且一些其他工艺可能只在本文中简要描述。上文描述了图13A-17B中具有与图1A-1C中元素相同的标记的元素。
参考图12,与操作205-215类似,可以执行操作1205-1215以形成类似于图5A-5B的结构。
参考图12,在操作1220中,在PFET的HK栅极电介质层的一部分内选择性地形成金属掺杂区域,并且在HK栅极电介质层的该部分上选择性地形成双金属氮化物层和pWFM层。例如,如图13A-14B所示,可以选择性地在PFET 102P1的HK栅极电介质层128的部分(“HKPFET部分”)内形成金属掺杂区域128Pd,并且可以选择性地在HK PFET部分上形成双金属氮化物层129和pWFM层130。该选择性形成工艺可以包括以下顺序操作:(i)在NFET 102N1的HK栅极电介质层128的一部分上图案化掩蔽层1246(例如,光致抗蚀剂层),如图13A-13B所示,(ii)在HKPFET部分内形成金属掺杂区域128Pd,以及(iii)在HK PFET部分上形成双金属氮化物层129和pWFM层130。用于形成金属掺杂区域128Pd的工艺可以类似于图2的操作220中描述的工艺,并且用于形成双金属氮化物层129和pWFM层130的工艺可以类似于图2的操作225中描述的工艺。
参考图12,在操作1225中,在PFET的pWFM层上并在NFET的HK电介质层上形成nWFM层、粘合层和栅极金属填充层。例如,如图15A-17B所示,可以形成nWFM层131、粘合层132和栅极金属填充层133。在形成nWFM层131、粘合层132和栅极金属填充层133之前,从图14B的结构中去除掩蔽层1246以形成图15B的结构。在去除掩蔽层1246之后,可以在与图2的操作235中描述的工艺类似的工艺中形成nWFM层131、粘合层132以及栅极金属填充层133,以形成图16A-16B的结构,随后可以进行化学机械抛光过程以形成图17A-17B的结构。
本公开提供了包括具有彼此不同的阈值电压的FET(例如,PFET102P1-102P4和NFET 102N1-102N4)的多阈值电压器件,并提供了在同一衬底(例如,衬底106)上形成这样的FET的示例方法。示例方法在同一衬底上形成具有材料和厚度相似但阈值电压不同的WFM层(例如,pWFM层130)的PFET。在一些实施例中,具有不同栅极结构配置但具有类似WFM层的PFET可以选择性地在同一衬底上形成以实现彼此不同的阈值电压。不同的栅极结构可以具有(i)高K(HK)栅极电介质层内的不同金属浓度的金属掺杂区域和(ii)HK栅极电介质层和WFM层之间的不同金属浓度的双金属氮化物层。不同的金属浓度会产生具有不同的EWF值和阈值电压的栅极结构。在一些实施例中,在约2原子%至约10原子%的范围内改变金属浓度可引起EWF值的约±80mV的偏移,以掩蔽层及阈值电压的约±50mV的偏移。因此,调节HK栅极电介质层内的、以及HK栅极电介质层与WFM层之间的金属浓度可以调节PFET栅极结构的EWF值,从而可以在不改变WFM层的材料和/或厚度的情况下调整PFET的阈值电压。
在一些实施例中,一种半导体器件包括:衬底;设置在所述衬底上的鳍结构;设置在所述鳍结构上的纳米结构沟道区域;以及栅极环绕式(GAA)结构,围绕所述纳米结构沟道区域。所述GAA结构包括:具有金属掺杂区域的高K(HK)栅极电介质层,所述金属掺杂区域具有第一金属材料的掺杂剂;设置在所述HK栅极电介质层上的p型功函数金属(pWFM)层;插入在所述HK栅极电介质层和所述pWFM层之间的双金属氮化物层;设置在所述pWFM层上的n型功函数金属(nWFM)层;以及设置在所述nWFM层上的栅极金属填充层。所述pWFM层包括第二金属材料,并且所述双金属氮化物层包括所述第一金属材料和所述第二金属材料。
在一些实施例中,一种半导体器件包括:衬底;p型FET,具有设置在所述衬底上的第一栅极结构;以及n型FET,具有设置在所述衬底上的第二栅极结构。所述第一栅极结构和所述第二栅极结构包括:具有金属掺杂区域的高K(HK)栅极电介质层,所述金属掺杂区域具有第一金属的掺杂剂;n型功函数金属(nWFM)层,设置在所述HK栅极电介质层上;以及栅极金属填充层,设置在所述nWFM层上。所述第一栅极结构包括p型功函数金属(pWFM)层以及插入在所述HK栅极电介质层和所述nWFM层之间的双金属氮化物层。所述pWFM具有第二金属,并且所述双金属氮化物层具有所述第一金属和所述第二金属。
在一些实施例中,一种方法包括:在鳍结构上形成纳米结构沟道区域;形成围绕所述纳米结构沟道区域的栅极开口;在所述栅极开口内沉积高K(HK)栅极电介质层;在所述HK栅极电介质层内形成具有第二金属的掺杂剂的金属掺杂区域;在所述HK栅极电介质层上形成双金属氮化物层;在所述双金属氮化物层上沉积p型功函数金属(pWFM)层;在所述pWFM层上沉积n型功函数金属(nWFM)层;以及在所述nWFM层上沉积栅极金属填充层。所述HK栅极电介质层包括第一金属和与第一金属不同的第二金属。所述pWFM层包括与所述第一金属和第二金属不同的第三金属,并且所述双金属氮化物层包括所述第二金属和所述第三金属。
以上概述了若干实施例的特征,以便本领域技术人员可以更好地理解本公开的各个方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改其他过程和结构的基础,以实现相同的目的和/或实现本文介绍的实施例的相同优点。本领域技术人员还应当认识到,这样的等效结构不背离本公开的精神和范围,并且他们可以在不背离本公开的精神和范围的情况下在本公开中进行各种改变、替换和更改。
示例1.一种半导体器件,包括:衬底;设置在所述衬底上的鳍结构;设置在所述鳍结构上的纳米结构沟道区域;以及栅极环绕式GAA结构,围绕所述纳米结构沟道区域,其中,所述GAA结构包括:具有金属掺杂区域的高K HK栅极电介质层,所述金属掺杂区域具有第一金属材料的掺杂剂;设置在所述HK栅极电介质层上的第一功函数金属WFM层,其中,所述第一WFM层包括第二金属材料;插入在所述HK栅极电介质层和所述第一WFM层之间的双金属氮化物层,其中,所述双金属氮化物层包括所述第一金属材料和所述第二金属材料;设置在所述第一WFM层上的第二WFM层;以及设置在所述第二WFM层上的栅极金属填充层。
示例2.根据示例1所述的半导体器件,其中,所述金属掺杂区域中的第一金属材料的浓度大于所述双金属氮化物层中的第一金属材料的浓度。
示例3.根据示例1所述的半导体器件,其中,所述第一WFM层中的第二金属材料的浓度大于所述双金属氮化物层中的第二金属材料的浓度。
示例4.根据示例1所述的半导体器件,其中,所述第一WFM层是p型WFM层,并且所述第二WFM层是n型WFM层。
示例5.根据示例1所述的半导体器件,其中,所述双金属氮化物层中的第一金属材料的浓度分布具有从所述双金属氮化物层的底表面到所述双金属氮化物层的顶表面的递减斜率。
示例6.根据示例1所述的半导体器件,其中,在所述双金属氮化物层中,所述第一金属材料的浓度大于所述第二金属材料的浓度。
示例7.根据示例1所述的半导体器件,其中,所述双金属氮化物层中的第一金属材料的浓度在2原子%至10原子%的范围内。
示例8.根据示例1所述的半导体器件,其中,所述HK栅极电介质层的金属掺杂区域中的第一金属材料与未掺杂区域中的金属的浓度比在0.05至0.5的范围内。
示例9.根据示例1所述的半导体器件,其中,所述金属掺杂区域的厚度大于所述双金属氮化物层的厚度。
示例10.根据示例1所述的半导体器件,其中,所述第一金属材料包含铝,并且所述第二金属材料包含钛。
示例11.一种半导体器件,包括:衬底;p型FET,具有设置在所述衬底上的第一栅极结构;以及n型FET,具有设置在所述衬底上的第二栅极结构,其中,所述第一栅极结构和所述第二栅极结构包括:具有金属掺杂区域的高K HK栅极电介质层,所述金属掺杂区域具有第一金属的掺杂剂,n型功函数金属nWFM层,设置在所述HK栅极电介质层上,以及栅极金属填充层,设置在所述nWFM层上,并且其中,所述第一栅极结构包括p型功函数金属pWFM层以及插入在所述HK栅极电介质层和所述nWFM层之间的双金属氮化物层,所述pWFM具有第二金属,并且所述双金属氮化物层具有所述第一金属和所述第二金属。
示例12.根据示例11所述的半导体器件,其中,所述第一金属包括铝。
示例13.根据示例11所述的半导体器件,其中,在所述双金属氮化物层中,所述第一金属的浓度大于所述第二金属的浓度。
示例14.根据示例11所述的半导体器件,其中,所述金属掺杂区域中的第一金属的浓度大于所述双金属氮化物层中的第一金属的浓度。
示例15.一种用于制造半导体器件的方法,包括:在鳍结构上形成纳米结构沟道区域;形成围绕所述纳米结构沟道区域的栅极开口;在所述栅极开口内沉积高K HK栅极电介质层,其中,所述HK栅极电介质层包括第一金属;在所述HK栅极电介质层内形成具有第二金属的掺杂剂的金属掺杂区域,其中,所述第二金属不同于所述第一金属;在所述HK栅极电介质层上形成双金属氮化物层;在所述双金属氮化物层上沉积p型功函数金属pWFM层,其中,所述pWFM层包括与所述第一金属和所述第二金属不同的第三金属,并且所述双金属氮化物层包括所述第二金属和所述第三金属;在所述pWFM层上沉积n型功函数金属nWFM层;以及在所述nWFM层上沉积栅极金属填充层。
示例16.根据示例15所述的方法,其中,形成所述金属掺杂区域包括:对所述HK栅极电介质层用所述第三金属的前体执行第一浸渍工艺;以及在所述第一浸渍工艺之后,对所述HK栅极电介质层用所述第二金属的前体执行第二浸渍工艺。
示例17.根据示例15所述的方法,其中,形成所述金属掺杂区域包括用所述第二金属掺杂所述HK栅极电介质层的区域,并且其中,所述金属掺杂区域包括0.05至0.5的第二金属与第一金属的浓度比。
示例18.根据示例15所述的方法,其中,形成所述双金属氮化物层包括:在所述HK栅极电介质层上沉积包括所述第三金属的第一金属层;在所述第一金属层上沉积第二金属层,其中,所述第二金属层包括所述第二金属;以及在沉积所述第二金属层期间将所述第一金属层转换为第三金属层,其中,所述第三金属层包含所述第二金属和所述第三金属。
示例19.根据示例15所述的方法,其中,形成所述双金属氮化物层包括:形成其中所述第二金属的浓度大于所述第三金属的浓度的所述双金属氮化物层。
示例20.根据示例15所述的方法,其中,形成所述双金属氮化物层包括:形成其中所述第二金属的浓度小于所述金属掺杂区域中的所述第二金属的浓度的所述双金属氮化物层。

Claims (10)

1.一种半导体器件,包括:
衬底;
设置在所述衬底上的鳍结构;
设置在所述鳍结构上的纳米结构沟道区域;以及
栅极环绕式GAA结构,围绕所述纳米结构沟道区域,其中,所述GAA结构包括:
具有金属掺杂区域的高K HK栅极电介质层,所述金属掺杂区域具有第一金属材料的掺杂剂;
设置在所述HK栅极电介质层上的第一功函数金属WFM层,其中,所述第一WFM层包括第二金属材料;
插入在所述HK栅极电介质层和所述第一WFM层之间的双金属氮化物层,其中,所述双金属氮化物层包括所述第一金属材料和所述第二金属材料;
设置在所述第一WFM层上的第二WFM层;以及
设置在所述第二WFM层上的栅极金属填充层。
2.根据权利要求1所述的半导体器件,其中,所述金属掺杂区域中的第一金属材料的浓度大于所述双金属氮化物层中的第一金属材料的浓度。
3.根据权利要求1所述的半导体器件,其中,所述第一WFM层中的第二金属材料的浓度大于所述双金属氮化物层中的第二金属材料的浓度。
4.根据权利要求1所述的半导体器件,其中,所述第一WFM层是p型WFM层,并且所述第二WFM层是n型WFM层。
5.根据权利要求1所述的半导体器件,其中,所述双金属氮化物层中的第一金属材料的浓度分布具有从所述双金属氮化物层的底表面到所述双金属氮化物层的顶表面的递减斜率。
6.根据权利要求1所述的半导体器件,其中,在所述双金属氮化物层中,所述第一金属材料的浓度大于所述第二金属材料的浓度。
7.根据权利要求1所述的半导体器件,其中,所述双金属氮化物层中的第一金属材料的浓度在2原子%至10原子%的范围内。
8.根据权利要求1所述的半导体器件,其中,所述HK栅极电介质层的金属掺杂区域中的第一金属材料与未掺杂区域中的金属的浓度比在0.05至0.5的范围内。
9.一种半导体器件,包括:
衬底;
p型FET,具有设置在所述衬底上的第一栅极结构;以及
n型FET,具有设置在所述衬底上的第二栅极结构,
其中,所述第一栅极结构和所述第二栅极结构包括:
具有金属掺杂区域的高K HK栅极电介质层,所述金属掺杂区域具有第一金属的掺杂剂,
n型功函数金属nWFM层,设置在所述HK栅极电介质层上,以及
栅极金属填充层,设置在所述nWFM层上,并且
其中,所述第一栅极结构包括p型功函数金属pWFM层以及插入在所述HK栅极电介质层和所述nWFM层之间的双金属氮化物层,所述pWFM具有第二金属,并且所述双金属氮化物层具有所述第一金属和所述第二金属。
10.一种制造半导体器件的方法,包括:
在鳍结构上形成纳米结构沟道区域;
形成围绕所述纳米结构沟道区域的栅极开口;
在所述栅极开口内沉积高K HK栅极电介质层,其中,所述HK栅极电介质层包括第一金属;
在所述HK栅极电介质层内形成具有第二金属的掺杂剂的金属掺杂区域,其中,所述第二金属不同于所述第一金属;
在所述HK栅极电介质层上形成双金属氮化物层;
在所述双金属氮化物层上沉积p型功函数金属pWFM层,其中,所述pWFM层包括与所述第一金属和所述第二金属不同的第三金属,并且所述双金属氮化物层包括所述第二金属和所述第三金属;
在所述pWFM层上沉积n型功函数金属nWFM层;以及
在所述nWFM层上沉积栅极金属填充层。
CN202010894273.0A 2020-05-29 2020-08-31 半导体器件和制造方法 Pending CN113270403A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/887,203 US11444198B2 (en) 2020-05-29 2020-05-29 Work function control in gate structures
US16/887,203 2020-05-29

Publications (1)

Publication Number Publication Date
CN113270403A true CN113270403A (zh) 2021-08-17

Family

ID=77227759

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010894273.0A Pending CN113270403A (zh) 2020-05-29 2020-08-31 半导体器件和制造方法

Country Status (5)

Country Link
US (2) US11444198B2 (zh)
KR (1) KR102401705B1 (zh)
CN (1) CN113270403A (zh)
DE (1) DE102020115412B3 (zh)
TW (1) TWI801884B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11444198B2 (en) * 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Work function control in gate structures

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008311464A (ja) 2007-06-15 2008-12-25 National Institute Of Advanced Industrial & Technology 半導体装置とその製造方法
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US20150255267A1 (en) 2014-03-09 2015-09-10 Tokyo Electron Limited Atomic Layer Deposition of Aluminum-doped High-k Films
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
KR102211254B1 (ko) * 2015-02-03 2021-02-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20160322473A1 (en) 2015-04-30 2016-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer Layer on Gate and Methods of Forming the Same
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10049940B1 (en) * 2017-08-25 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for metal gates with roughened barrier layer
US10522650B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10566245B2 (en) * 2017-04-26 2020-02-18 Samsung Electronics Co., Ltd. Method of fabricating gate all around semiconductor device
KR102293127B1 (ko) 2017-06-23 2021-08-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11121131B2 (en) * 2017-06-23 2021-09-14 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US10665450B2 (en) 2017-08-18 2020-05-26 Applied Materials, Inc. Methods and apparatus for doping engineering and threshold voltage tuning by integrated deposition of titanium nitride and aluminum films
US10170317B1 (en) * 2017-09-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layer
US10516032B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US10529815B2 (en) * 2017-10-31 2020-01-07 International Business Machines Corporation Conformal replacement gate electrode for short channel devices
KR102589667B1 (ko) * 2017-12-22 2023-10-17 삼성전자주식회사 반도체 장치
US10573521B2 (en) * 2018-01-30 2020-02-25 International Business Machines Corporation Gate metal patterning to avoid gate stack attack due to excessive wet etching
US10504789B1 (en) * 2018-05-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-deposition treatment for FET technology and devices formed thereby
US10692778B2 (en) * 2018-08-01 2020-06-23 International Business Machines Corporation Gate-all-around FETs having uniform threshold voltage
US10770563B2 (en) * 2018-10-24 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and patterning method for multiple threshold voltages
US10700064B1 (en) * 2019-02-15 2020-06-30 International Business Machines Corporation Multi-threshold voltage gate-all-around field-effect transistor devices with common gates
US11244871B2 (en) * 2019-06-27 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices for tightening spacing between nanosheets in GAA structures and structures formed thereby
US11342188B2 (en) * 2019-09-17 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping high-k metal gates for tuning threshold voltages
US11049937B2 (en) * 2019-10-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11374090B2 (en) * 2019-10-31 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11489059B2 (en) * 2020-01-14 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, FinFET devices and methods of forming the same
US11444198B2 (en) * 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Work function control in gate structures

Also Published As

Publication number Publication date
US11444198B2 (en) 2022-09-13
KR20210148797A (ko) 2021-12-08
TWI801884B (zh) 2023-05-11
TW202213785A (zh) 2022-04-01
US20230015761A1 (en) 2023-01-19
DE102020115412B3 (de) 2021-10-28
US20210376138A1 (en) 2021-12-02
KR102401705B1 (ko) 2022-05-26

Similar Documents

Publication Publication Date Title
CN111987096B (zh) 半导体器件的栅极结构及其形成方法
US11374090B2 (en) Gate structures for semiconductor devices
US20210391225A1 (en) Gate structures for semiconductor devices
US11417571B2 (en) Dopant profile control in gate structures for semiconductor devices
CN112713118A (zh) 半导体装置的形成方法
US20220320284A1 (en) Gate structures for semiconductor devices
CN111128883A (zh) 半导体元件的制造方法
US20240150192A1 (en) Gate Structures In Semiconductor Devices
US20230015761A1 (en) Work function control in gate structures
US20230387245A1 (en) Gate Spacers In Semiconductor Devices
US20230187526A1 (en) Gate structures in semiconductor devices
TW202230523A (zh) 半導體裝置之形成方法
US11908702B2 (en) Gate structures in semiconductor devices
US20220367627A1 (en) Gate structures for semiconductor devices
US20230290853A1 (en) Profile control of isolation structures in semiconductor devices
US20220310846A1 (en) Dipoles in semiconductor devices
US20230040346A1 (en) Gate structures in semiconductor devices
US20230009077A1 (en) Contact structures in semiconductor devices
US20230387262A1 (en) Channel Structures For Semiconductor Devices
CN117276343A (zh) 半导体器件及其制造方法
CN116581027A (zh) 半导体器件中的隔离结构的轮廓控制

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination