CN111446238B - 用于优化芯片静电泄放能力的管脚环的自动布局方法 - Google Patents

用于优化芯片静电泄放能力的管脚环的自动布局方法 Download PDF

Info

Publication number
CN111446238B
CN111446238B CN202010236818.9A CN202010236818A CN111446238B CN 111446238 B CN111446238 B CN 111446238B CN 202010236818 A CN202010236818 A CN 202010236818A CN 111446238 B CN111446238 B CN 111446238B
Authority
CN
China
Prior art keywords
lead
module
boundary
signal
power supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010236818.9A
Other languages
English (en)
Other versions
CN111446238A (zh
Inventor
赵少峰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dongke semiconductor (Anhui) Co.,Ltd.
Original Assignee
Anhui Dongke Semiconductor Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Anhui Dongke Semiconductor Co ltd filed Critical Anhui Dongke Semiconductor Co ltd
Priority to CN202010236818.9A priority Critical patent/CN111446238B/zh
Publication of CN111446238A publication Critical patent/CN111446238A/zh
Priority to US17/595,632 priority patent/US20230205962A1/en
Priority to PCT/CN2020/123587 priority patent/WO2021196574A1/zh
Priority to JP2021569339A priority patent/JP7229593B2/ja
Application granted granted Critical
Publication of CN111446238B publication Critical patent/CN111446238B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/18Chip packaging
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Architecture (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本发明公开了一种用于优化芯片静电泄放能力的管脚环的自动布局方法,包括:根据选定工艺库的信息和封装约束信息,确定芯片的信号引线模块的种类和数量,并结合设计总功耗数据确定电源引线模块的种类和对应每组电源引线模块种类的基本需求数量;基于信号引线模块和电源引线模块的种类、数量和封装约束信息,得到四条边界各自的待布局引线模块的分组;对每条边界执行第一自动布局,插入一个边界模块后,依次轮询调用第二子程序和第三子程序,分别用于插入信号引线模块,第一电源引线模块组和/或第二电源引线模块组;根据该边界执行第一自动布局后的剩余间隙的尺寸执行第二自动布局,用以优化芯片的静电泄放能力。

Description

用于优化芯片静电泄放能力的管脚环的自动布局方法
技术领域
本发明涉及微电子技术领域,尤其涉及一种用于优化芯片静电泄放能力的管脚环的自动布局方法。
背景技术
芯片设计中,布局是决定内核单元、宏模块等电路部件位于版图平面的位置的设计步骤。通过在版图上给单元、宏模块等分配物理位置,使得单元、宏模块等部件互不重叠。该分配需要根据用户给出的特定约束来对代价函数进行优化。布局之后,单元和引脚的确切位置己经确定,所需的互联也已经确定。通常讨论的布局,都集中在芯片内核的布局。对于芯片设计来说,还有一个很关键的布局步骤是对管脚环(PAD ring)进行布局。
静电放电(Electrostatic Discharge,ESD),应该是造成所有电子元器件或集成电路系统造成过度电应力(EOS)破坏的主要元凶。因为静电通常瞬间电压非常高(>几千伏),所以这种损伤是毁灭性和永久性的,会造成电路直接烧毁。所以预防静电损伤是所有芯片设计和制造的头号难题。
ESD的泄放也是管脚环(PAD ring)的一个重要任务,静电泄放通路的模块都是在信号引线模块Signal PAD旁边,不能在芯片里面,因为外界的静电需要第一时间泄放掉。
而目前业内常用的管脚环(PAD ring)的自动布局方法是在满足约束条件下的完全随机布局,例如按照模块名称顺序排列的布局。这导致了自动布局根本无法满足芯片设计者的使用需求,跟不用说如何自动的优选ESD泄放能力更强的管脚环(PAD ring)布局方案。较为有经验的芯片设计者往往抛弃自动布局而采用手工布局的方式,以使管脚环(PADring)的布局满足设计要求,并在此基础上通过手动调整布局的方式对设计进行优化。
发明内容
本发明的目的是针对现有技术的缺陷,提供一种用于优化芯片静电泄放能力的管脚环的自动布局方法。
有鉴于此,本发明实施例提供了一种用于优化芯片静电泄放能力的管脚环的自动布局方法,包括:
获取芯片的设计总功耗数据、面积约束信息、封装约束信息、内核的位置信息和芯片设计选定工艺库的信息;所述内核的位置信息包括内核的边界位置坐标;
根据所述面积约束信息和内核的边界位置坐标,确定所述芯片的管脚环的位置信息;所述管脚环具有四条边界;所述管脚环的位置信息包括:所述管脚环的每条边界的位置坐标和宽度信息;
根据所述选定工艺库的信息和封装约束信息,确定所述芯片的信号引线模块Signal PAD的种类和数量;
结合所述设计总功耗数据与所述信号引线模块Signal PAD的种类和数量确定电源引线模块的种类和对应每组电源引线模块种类的基本需求数量;所述电源引线模块种类包括第一电源引线模块组和第二电源引线模块组;所述第一电源引线模块组包括第一电平引线模块Vss PAD和第二电平引线模块Vdd Pad;所述第二电源引线模块组包括第一电平输入输出引线模块Vss IO PAD和第二电平输入输出引线模块Vdd IO Pad;
基于所述Signal PAD的种类和数量、所述电源引线模块的种类和对应每组电源引线模块种类的基本需求数量和所述封装约束信息,将所述Signal PAD、第一电源引线模块组和第二电源引线模块组分为四组待布局引线模块;所述四组待布局引线模块与所述四条边界具有一一对应关系;
对每条边界执行第一自动布局;所述第一自动布局包括:根据所述管脚环的边界位置坐标选定该边界顶点,并确定选定的所述边界顶点的位置坐标为该边界的布局起始点坐标;调用第一子程序,以所述起始点坐标作为起点,由所述工艺库调用并插入一个边界模块corner cell,记录所述边界模块的终止位置坐标;根据边界模块的终止位置坐标以及该边界对应的待布局引线模块,依次轮询调用第二子程序和第三子程序,从而依次由所述工艺库调用并插入所需的Signal PAD、第一电源引线模块组和/或第二电源引线模块组,并记录每次插入后的终止位置坐标;其中所述第二子程序用于插入Signal PAD,所述第三子程序用于插入第一电源引线模块组和/或第二电源引线模块组;
根据最后一次插入后的终止位置坐标、相邻一条边界的边界顶点和边界模块的模块尺寸,计算每条边界执行所述第一自动布局后的剩余间隙的尺寸,并根据所述剩余间隙的尺寸执行第二自动布局,用以优化所述芯片的静电泄放能力;
所述第二自动布局具体包括:
当所述剩余间隙的尺寸大于等于第一电源引线模块组的横向尺寸时,调用第三子程序在所述剩余间隙内插入一组或多组第一电源引线模块组;
当所述剩余间隙的尺寸于小于第一电源引线模块组的横向尺寸且大于等于第二电源引线模块组的横向尺寸时,调用第三子程序在所述剩余间隙内插入一组第二电源引线模块组;
当所述剩余间隙的尺寸分别小于第一电源引线模块组及第二电源引线模块组的横向尺寸,但大于等于第一电平引线模块Vss PAD的横向尺寸时时,调用第三子程序在所述剩余间隙内插入一个第一电平引线模块Vss PAD。
优选的,所述方法还包括:
根据第二自动布局执行最后一次插入后的终止位置坐标、相邻一条边界的边界顶点和边界模块的模块尺寸,计算每条边界执行所述第二自动布局后的二次剩余间隙的尺寸,并根据所述二次剩余间隙的尺寸调用第四子程序,通过所述第四子程序由所述工艺库调用并插入一个或多个填充模块filler cell,用以填满所述二次剩余间隙。
优选的,根据所述选定工艺库的信息和封装约束信息,确定所述芯片的信号引线模块Signal PAD的种类和数量具体为:
根据所述封装约束信息从所述选定工艺库中提取所需的信号引线模块SignalPAD的种类,并确定对应每种信号引线模块Signal PAD的数量;不同种类信号引线模块Signal PAD具有各自的横向尺寸。
优选的,所述结合所述设计总功耗数据与所述信号引线模块Signal PAD的种类和数量确定电源引线模块的种类和对应每组电源引线模块种类的基本需求数量具体为:
计算所述信号引线模块Signal PAD输出的信号同时翻转时的最大能耗,并根据所述最大能耗确定所需第一电平输入输出引线模块Vss IO PAD和第二电平输入输出引线模块Vdd IO Pad的最小布局数量;
根据设计总功耗数据中芯片的核内典型功耗消耗值计算第一电平引线模块VssPAD和第二电平引线模块Vdd Pad的最小布局数量。
优选的,所述基于所述Signal PAD的种类和数量、所述电源引线模块的种类和对应每组电源引线模块种类的基本需求数量和所述封装约束信息,将所述Signal PAD、第一电源引线模块组和第二电源引线模块组分为四组待布局引线模块具体包括:
根据封装约束信息确定Signal PAD的放置规则参数,所述放置规则参数包括Signal PAD到具有最大静电泄放能力的第一电平引线模块Vss PAD之间的最大间距;
根据所述最大间距、所述Signal PAD的放置规则参数,对每个所述Signal PAD、所述第一电源引线模块组和第二电源引线模块组按照平均分布原则,分配到一组待布局引线模块中,并确定在组中的排序位置信息。
优选的,当所述剩余间隙的尺寸小于0时,所述方法还包括:输出布局错误告警提示信息。
本发明提供了一种用于优化芯片静电泄放能力的管脚环的自动布局方法,以设计总功耗数据、面积约束信息、封装约束信息、内核的位置信息和芯片设计选定工艺库的信息为基础,对填补环进行位置定位,确定填补环内排布信号引线模块Signal PAD种类和需求数量,以及电源引线模块的种类和对应每组电源引线模块种类的基本需求数量,并对填补环的每条边界执行第一自动布局,用以自动插入边界模块Corner Cell、Signal PAD和电源引线模块,并在随后对剩余间隙按照静电泄放能力最强的第一电平引线模块Vss PAD优先布局的原则进行插入,最后再对剩余的不够插入Vss PAD的间隙执行随机自动布局,在剩余间隙内插入填充模块。
附图说明
下面通过附图和实施例,对本发明实施例的技术方案做进一步详细描述。
图1为本发明实施例提供的芯片设计中填补环的自动布局方法流程图;
图2为本发明实施例提供的自动布局方法进行填补环布局的过程示意图之一;
图3为本发明实施例提供的自动布局方法进行填补环布局的过程示意图之二;
图4为本发明实施例提供的自动布局方法进行填补环布局的过程示意图之三。
图5为本发明实施例提供的自动布局方法进行填补环布局的过程示意图之四。
具体实施方式
本发明实施例提供了一种用于优化芯片静电泄放能力的管脚环的自动布局方法,以设计总功耗数据、面积约束信息、封装约束信息、内核的位置信息和芯片设计选定工艺库的信息为基础,对填补环进行位置定位,确定填补环内排布信号引线模块种类和需求数量,以及电源引线模块的种类和对应每组电源引线模块种类的基本需求数量,并对填补环的每条边界执行自动布局,并对剩余间隙按照静电泄放能力最强的第一电平引线模块Vss PAD优先布局的原则进行插入。
本发明实施例提供的自动布局方法,其主要执行步骤如图1所示的方法流程图所示。
步骤110,获取芯片的设计总功耗数据、面积约束信息、封装约束信息、内核的位置信息和芯片设计选定工艺库的信息;
具体的,芯片设计时,基于设计要求会有对芯片设计的总功耗、芯片面积约束、封装约束、所采用的工艺库等的信息,在获得芯片面积约束后,内核位置也是在芯片设计开始时就确定了的。内核的位置信息包括内核Core的边界位置坐标。芯片内核的单元可以通过综合产生的网表生成,内核主要用于实现芯片的逻辑功能。
步骤120,根据面积约束信息和内核的边界位置坐标,确定芯片的填补环的位置信息;
具体的,综合产生的网表通常只产生芯片内核的单元,不包含电源、地的Pad模块、边界模块Corner Cell等。在确定了芯片面积约束信息和内核的边界位置坐标后就可以根据芯片面积约束信息对应的芯片的边界与内核的边界确定填补环PAD ring的宽度,也同时确定其位置信息。
如图2所示,根据芯片面积约束信息确定芯片的边界长度为a,内核的边界长度为b,那么填补环PAD ring的宽度为(a-b)/2,长度与芯片的边界长度a相同。
填补环具有四条边界;填补环的位置信息包括:填补环的每条边界的位置坐标和宽度信息。
例如图2中,内核Core的左上角顶点坐标为(x,y),那么填补环PAD ring的左上角顶点坐标就是(x-(a-b)/2,y-(a-b)/2)。
芯片的填补环中包含了包含电源、地的Pad模块、边界模块Corner Cell等,具体的在本实施例中包括芯片的信号引线模块Signal PAD、电源引线模块、边界模块Corner Cell和填充模块filler cell。
步骤130,根据选定工艺库的信息和封装约束信息,确定芯片的信号引线模块Signal PAD的种类和数量;
具体的,芯片设计前工艺库就是选定的,根据具体流片所采用的工艺结合设计工具而定,每个工艺库中对于芯片的填补环中各个模块的尺寸、功能都有相应的规定。
填补环PAD ring中的各个模块根据IO端口完成的功能不同而不同,有些模块实现电平转换和驱动,有些模块为静电泄放(ESD)保护功能。因为在ESD应力下,会有大电流流过,容易引起栓锁效应,因此在具体的设计时必须考虑栓锁效应的影响。各模块的连线对电路的影响主要是连线的寄生参数对电路性能的影响。
封装约束信息是指封装时根据芯片的逻辑功能需要,要求有多少个何种功能的信号引线模块Signal PAD。因此根据封装约束信息可以从选定工艺库中提取所需的信号引线模块Signal PAD的种类,并确定对应每种信号引线模块Signal PAD的数量;不同种类信号引线模块Signal PAD具有各自的横向尺寸。
步骤140,结合设计总功耗数据与信号引线模块Signal PAD的种类和数量确定电源引线模块的种类和对应每组电源引线模块种类的基本需求数量;
具体的,电源引线模块种类包括第一电源引线模块组和第二电源引线模块组;第一电源引线模块组包括第一电平引线模块Vss PAD和第二电平引线模块Vdd Pad;第二电源引线模块组包括第一电平输入输出引线模块Vss IO PAD和第二电平输入输出引线模块VddIO Pad;
第一电源引线模块组用于对内核内部模块的供电,也就是core ground,第二电源引线模块组用于信号引线模块Signal PAD的驱动供电,也就是pad ground,以及电压泄放保护等。
通过计算信号引线模块Signal PAD输出的信号同时翻转时的最大能耗,并根据最大能耗确定所需第一电平输入输出引线模块Vss IO PAD和第二电平输入输出引线模块VddIO Pad的最小布局数量;
根据设计总功耗数据中芯片的核内典型功耗消耗值计算第一电平引线模块VssPAD和第二电平引线模块Vdd Pad的最小布局数量。
步骤150,基于Signal PAD的种类和数量、电源引线模块的种类和对应每组电源引线模块种类的基本需求数量和封装约束信息,将Signal PAD、第一电源引线模块组和第二电源引线模块组分为四组待布局引线模块;
具体的,封装约束信息还规定了某些特定的信号管脚需要从芯片的哪个边引出,因此根据这个封装约束信息进行Signal PAD的排布,对于没有特定要求的,按照尽量平均分布的原则进行Signal PAD在四条边界的排布。
填补环中信号引线模块Signal PAD的排布按照封装约束信息还有一个规则,就是规定了Signal PAD到用于ESD泄放的电源引线模块之间的最大间距。也就是可以根据封装约束信息确定Signal PAD的放置规则参数,放置规则参数包括Signal PAD到具有最大电压泄放能力的第一电平引线模块Vss PAD之间的最大间距。
根据所述最大间距、Signal PAD的放置规则参数,对每个Signal PAD、第一电源引线模块组和第二电源引线模块组按照平均分布原则,分配到一组待布局引线模块中,并确定在组中的排序位置信息。由此可以用来实现Signal PAD、第一电源引线模块组和第二电源引线模块组的自动排布。
四组待布局引线模块与四条边界具有一一对应关系。
步骤160,对每条边界执行第一自动布局;
第一自动布局包括:
步骤161,根据填补环的边界位置坐标选定该边界顶点,并确定选定的边界顶点的位置坐标为该边界的布局起始点坐标;
步骤162,调用第一子程序,以起始点坐标作为起点,由工艺库调用并插入一个边界模块Corner Cell,记录边界模块的终止位置坐标。
边界模块Corner Cell是用于填补水平和垂直边界交接处单元之间的空白的模块。
步骤163,根据边界模块的终止位置坐标以及该边界对应的待布局引线模块,依次轮询调用第二子程序和第三子程序,从而依次由工艺库调用并插入所需的Signal PAD、第一电源引线模块组和/或第二电源引线模块组,并记录每次插入后的终止位置坐标;
其中,第二子程序用于插入Signal PAD,第三子程序用于插入第一电源引线模块组和/或第二电源引线模块组。
根据前面所说的约束信息,可以在满足各约束的条件下,一次调用一个或多个Signal PAD,再一次性调用一组或多组第一电源引线模块组和/或第二电源引线模块组,只要满足Signal PAD在符合约束条件范围内有第一电源引线模块组和第二电源引线模块组。
按照上述方法完成一条边界的布局后,在一个具体例子中,其模块布局如图3所示。各边界的执行均可按照上述流程进行,可以同步或分布进行。图3布局后,填补环中的模块就可以满足芯片本身的驱动能力和对静电泄放能力的要求。
在个别情况下,可能会发生设计约束条件与芯片尺寸规定及芯片实际逻辑和驱动能力需求不匹配的情况,此时会发生剩余间隙的尺寸小于0的情况,在此情况下,会输出布局错误告警提示信息,用以提示芯片设计人员需要重新返回到网表设计,不再执行填补环的自动布局。
步骤170,根据最后一次插入后的终止位置坐标、相邻一条边界的边界顶点和边界模块的模块尺寸,计算每条边界执行第一自动布局后的剩余间隙的尺寸,并根据剩余间隙的尺寸执行第二自动布局,用以优化芯片的静电泄放能力。
为提升芯片的静电泄放能力,在剩余间隙内优先的以模块组方式插入插入静电泄放能力最强的包含Vss PAD的第一电源引线模块组。
在本发明的具体实施里中,实施过程可以具体如下:
当剩余间隙的尺寸大于等于第一电源引线模块组的横向尺寸时,调用第三子程序在所述剩余间隙内插入一组或多组第一电源引线模块组;
当剩余间隙的尺寸于小于第一电源引线模块组的横向尺寸且大于等于第二电源引线模块组的横向尺寸时,调用第三子程序在所述剩余间隙内插入一组第二电源引线模块组;
当剩余间隙的尺寸分别小于第一电源引线模块组及第二电源引线模块组的横向尺寸,但大于等于第一电平引线模块Vss PAD的横向尺寸时时,调用第三子程序在所述剩余间隙内插入一个第一电平引线模块Vss PAD。
在图3所示的示意图中,剩余间隙如图中标注d1的区域所示。在此区域执行第二自动布局,用以将该区域填充满。
在本例中,首先填入一组第一电源引线模块组,剩余的间隙不足以插入第二电源引线模块组,但可以插入一个第一电平引线模块Vss PAD,因此再填入一个第一电平引线模块Vss PAD。完成本步骤的第二自动布局后,一条边界的模块布局如图4所示。
步骤180,根据第二自动布局执行最后一次插入后的终止位置坐标、相邻一条边界的边界顶点和边界模块的模块尺寸,计算每条边界执行第二自动布局后的二次剩余间隙的尺寸,并根据二次剩余间隙的尺寸调用第四子程序,通过第四子程序由工艺库调用并插入一个或多个填充模块filler cell,用以填满所述二次剩余间隙。
根据图4所示,二次剩余间隙如图中标注d2位置所示。
填充模块filler cell是指选定工艺库的单元库中与逻辑无关的填充物,可以分为输入输出填充模块IO filler以及普通的标准单元填充模块standard cell filler。
在填补环的填充所用的是IO filler,也叫pad filler,通常用来填充PAD ring的空隙。
在本例中,一条边界在自动布局完成后的其模块布局如图5所示。
本发明提供的一种用于优化芯片静电泄放能力的管脚环的自动布局方法,以设计总功耗数据、面积约束信息、封装约束信息、内核的位置信息和芯片设计选定工艺库的信息为基础,对填补环进行位置定位,确定填补环内排布信号引线模块Signal PAD种类和需求数量,以及电源引线模块的种类和对应每组电源引线模块种类的基本需求数量,并对填补环的每条边界执行第一自动布局,用以自动插入边界模块Corner Cell、Signal PAD和电源引线模块,并在随后对述剩余间隙按照静电泄放能力最强的第一电平引线模块Vss PAD优先布局的原则进行插入,最后再对剩余的不够插入Vss PAD的间隙执行随机自动布局,在剩余间隙内插入填充模块。
以上所述的具体实施方式,对本发明的目的、技术方案和有益效果进行了进一步详细说明,所应理解的是,以上所述仅为本发明的具体实施方式而已,并不用于限定本发明的保护范围,凡在本发明的精神和原则之内,所做的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (6)

1.一种用于优化芯片静电泄放能力的管脚环的自动布局方法,其特征在于,所述方法包括:
获取芯片的设计总功耗数据、面积约束信息、封装约束信息、内核的位置信息和芯片设计选定工艺库的信息;所述内核的位置信息包括内核的边界位置坐标;
根据所述面积约束信息和内核的边界位置坐标,确定所述芯片的管脚环的位置信息;所述管脚环具有四条边界;所述管脚环的位置信息包括:所述管脚环的每条边界的位置坐标和宽度信息;
根据所述选定工艺库的信息和封装约束信息,确定所述芯片的信号引线模块SignalPAD的种类和数量;
结合所述设计总功耗数据与所述信号引线模块Signal PAD的种类和数量确定电源引线模块的种类和对应每组电源引线模块种类的基本需求数量;所述电源引线模块种类包括第一电源引线模块组和第二电源引线模块组;所述第一电源引线模块组包括第一电平引线模块Vss PAD和第二电平引线模块Vdd Pad;所述第二电源引线模块组包括第一电平输入输出引线模块Vss IO PAD和第二电平输入输出引线模块Vdd IO Pad;
基于所述信号引线模块Signal PAD的种类和数量、所述电源引线模块的种类和对应每组电源引线模块种类的基本需求数量和所述封装约束信息,将所述信号引线模块SignalPAD、第一电源引线模块组和第二电源引线模块组分为四组待布局引线模块;所述四组待布局引线模块与所述四条边界具有一一对应关系;
对每条边界执行第一自动布局;所述第一自动布局包括:根据所述管脚环的边界位置坐标选定该边界顶点,并确定选定的所述边界顶点的位置坐标为该边界的布局起始点坐标;调用第一子程序,以所述起始点坐标作为起点,由所述工艺库调用并插入一个边界模块corner cell,记录所述边界模块的终止位置坐标;根据边界模块的终止位置坐标以及该边界对应的待布局引线模块,依次轮询调用第二子程序和第三子程序,从而依次由所述工艺库调用并插入所需的信号引线模块Signal PAD、第一电源引线模块组和/或第二电源引线模块组,并记录每次插入后的终止位置坐标;其中所述第二子程序用于插入信号引线模块Signal PAD,所述第三子程序用于插入第一电源引线模块组和/或第二电源引线模块组;
根据最后一次插入后的终止位置坐标、相邻一条边界的边界顶点和边界模块的模块尺寸,计算每条边界执行所述第一自动布局后的剩余间隙的尺寸,并根据所述剩余间隙的尺寸执行第二自动布局,用以优化所述芯片的静电泄放能力;
所述第二自动布局具体包括:
当所述剩余间隙的尺寸大于等于第一电源引线模块组的横向尺寸时,调用第三子程序在所述剩余间隙内插入一组或多组第一电源引线模块组;
当所述剩余间隙的尺寸于小于第一电源引线模块组的横向尺寸且大于等于第二电源引线模块组的横向尺寸时,调用第三子程序在所述剩余间隙内插入一组第二电源引线模块组;
当所述剩余间隙的尺寸分别小于第一电源引线模块组及第二电源引线模块组的横向尺寸,但大于等于第一电平引线模块Vss PAD的横向尺寸时,调用第三子程序在所述剩余间隙内插入一个第一电平引线模块Vss PAD。
2.根据权利要求1所述的管脚环的自动布局方法,其特征在于,所述方法还包括:
根据第二自动布局执行最后一次插入后的终止位置坐标、相邻一条边界的边界顶点和边界模块的模块尺寸,计算每条边界执行所述第二自动布局后的二次剩余间隙的尺寸,并根据所述二次剩余间隙的尺寸调用第四子程序,通过所述第四子程序由所述工艺库调用并插入一个或多个填充模块filler cell,用以填满所述二次剩余间隙。
3.根据权利要求1所述的管脚环的自动布局方法,其特征在于,根据所述选定工艺库的信息和封装约束信息,确定所述芯片的信号引线模块Signal PAD的种类和数量具体为:
根据所述封装约束信息从所述选定工艺库中提取所需的信号引线模块Signal PAD的种类,并确定对应每种信号引线模块Signal PAD的数量;不同种类信号引线模块SignalPAD具有各自的横向尺寸。
4.根据权利要求1所述的管脚环的自动布局方法,其特征在于,所述结合所述设计总功耗数据与所述信号引线模块Signal PAD的种类和数量确定电源引线模块的种类和对应每组电源引线模块种类的基本需求数量具体为:
计算所述信号引线模块Signal PAD输出的信号同时翻转时的最大能耗,并根据所述最大能耗确定所需第一电平输入输出引线模块Vss IO PAD和第二电平输入输出引线模块VddIO Pad的最小布局数量;
根据设计总功耗数据中芯片的核内典型功耗消耗值计算第一电平引线模块Vss PAD和第二电平引线模块Vdd Pad的最小布局数量。
5.根据权利要求1所述的管脚环的自动布局方法,其特征在于,所述基于所述信号引线模块Signal PAD的种类和数量、所述电源引线模块的种类和对应每组电源引线模块种类的基本需求数量和所述封装约束信息,将所述信号引线模块Signal PAD、第一电源引线模块组和第二电源引线模块组分为四组待布局引线模块具体包括:
根据封装约束信息确定信号引线模块Signal PAD的放置规则参数,所述放置规则参数包括信号引线模块Signal PAD到具有最大静电泄放能力的第一电平引线模块Vss PAD之间的最大间距;
根据所述最大间距、所述信号引线模块Signal PAD的放置规则参数,对每个所述信号引线模块Signal PAD、所述第一电源引线模块组和第二电源引线模块组按照平均分布原则,分配到一组待布局引线模块中,并确定在组中的排序位置信息。
6.根据权利要求1所述的管脚环的自动布局方法,其特征在于,当所述剩余间隙的尺寸小于0时,所述方法还包括:输出布局错误告警提示信息。
CN202010236818.9A 2020-03-30 2020-03-30 用于优化芯片静电泄放能力的管脚环的自动布局方法 Active CN111446238B (zh)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN202010236818.9A CN111446238B (zh) 2020-03-30 2020-03-30 用于优化芯片静电泄放能力的管脚环的自动布局方法
US17/595,632 US20230205962A1 (en) 2020-03-30 2020-10-26 Automatic layout method for pad ring used for optimizing electrostatic discharging capacity of chip
PCT/CN2020/123587 WO2021196574A1 (zh) 2020-03-30 2020-10-26 用于优化芯片静电泄放能力的管脚环的自动布局方法
JP2021569339A JP7229593B2 (ja) 2020-03-30 2020-10-26 チップの静電放電能力を最適化するためのパッドリングの自動レイアウト方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010236818.9A CN111446238B (zh) 2020-03-30 2020-03-30 用于优化芯片静电泄放能力的管脚环的自动布局方法

Publications (2)

Publication Number Publication Date
CN111446238A CN111446238A (zh) 2020-07-24
CN111446238B true CN111446238B (zh) 2021-04-09

Family

ID=71651086

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010236818.9A Active CN111446238B (zh) 2020-03-30 2020-03-30 用于优化芯片静电泄放能力的管脚环的自动布局方法

Country Status (4)

Country Link
US (1) US20230205962A1 (zh)
JP (1) JP7229593B2 (zh)
CN (1) CN111446238B (zh)
WO (1) WO2021196574A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111446238B (zh) * 2020-03-30 2021-04-09 安徽省东科半导体有限公司 用于优化芯片静电泄放能力的管脚环的自动布局方法
CN114764329A (zh) * 2021-01-14 2022-07-19 华为技术有限公司 一种ui界面自适应约束求解方法及相关装置
CN113221500A (zh) * 2021-06-18 2021-08-06 苏州复鹄电子科技有限公司 一种基于人工智能算法的芯片打线布局自动化设计方法
CN114021519B (zh) * 2021-11-04 2022-11-29 北京百度网讯科技有限公司 链状量子芯片的布线方法、装置、电子设备及存储介质
CN115243536B (zh) * 2022-09-22 2022-12-09 深圳鸿芯微纳技术有限公司 静电防护单元的位置确定方法、装置、设备及存储介质

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106528921A (zh) * 2016-09-27 2017-03-22 北京深维科技有限公司 一种fpga芯片布局中实现区域约束的方法
CN109284578A (zh) * 2018-02-27 2019-01-29 上海安路信息科技有限公司 逻辑电路布局布线方法、图形化显示方法及其系统
CN109684745A (zh) * 2018-12-27 2019-04-26 北京华大九天软件有限公司 基于最小宽度约束的6t&6tppnn单元布局方法
CN109871567A (zh) * 2018-12-18 2019-06-11 远景能源(南京)软件技术有限公司 光伏组件排布图纸的自动化生成方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5847969A (en) * 1996-05-01 1998-12-08 Hewlett-Packard Co. Integrated circuit design system and method for generating a regular structure embedded in a standard cell control block
US6725439B1 (en) * 1998-01-29 2004-04-20 International Business Machines Corporation Method of automated design and checking for ESD robustness
JP2001298091A (ja) * 2000-04-17 2001-10-26 Mitsubishi Electric Corp 自動配置配線手法および半導体集積回路
US20060131726A1 (en) 2004-12-22 2006-06-22 Bruch Thomas P Arrangement of input/output pads on an integrated circuit
CN101145169A (zh) * 2007-06-14 2008-03-19 上海芯域微电子有限公司 SoC 集成电路自动布局设计中的模组、宏单元、标准单元同步布局的收敛方法和系统
US9577640B1 (en) * 2012-05-28 2017-02-21 Baysand Inc. Flexible, space-efficient I/O circuitry for integrated circuits
US9223915B1 (en) * 2014-08-05 2015-12-29 Cadence Design Systems, Inc. Method, system, and computer program product for checking, verifying, or testing a multi-fabric electronic design spanning across multiple design fabrics
US9996655B2 (en) 2016-03-04 2018-06-12 Sandisk Technologies Llc Skeleton I/O generation for early ESD analysis
EP3503180A1 (en) * 2017-12-22 2019-06-26 Nagravision S.A. A decoupling capacitor for an integrated circuit
CN110502799B (zh) * 2019-07-25 2022-08-19 苏州浪潮智能科技有限公司 一种芯片引脚自动化配置方法与装置
CN111446238B (zh) * 2020-03-30 2021-04-09 安徽省东科半导体有限公司 用于优化芯片静电泄放能力的管脚环的自动布局方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106528921A (zh) * 2016-09-27 2017-03-22 北京深维科技有限公司 一种fpga芯片布局中实现区域约束的方法
CN109284578A (zh) * 2018-02-27 2019-01-29 上海安路信息科技有限公司 逻辑电路布局布线方法、图形化显示方法及其系统
CN109871567A (zh) * 2018-12-18 2019-06-11 远景能源(南京)软件技术有限公司 光伏组件排布图纸的自动化生成方法
CN109684745A (zh) * 2018-12-27 2019-04-26 北京华大九天软件有限公司 基于最小宽度约束的6t&6tppnn单元布局方法

Also Published As

Publication number Publication date
JP7229593B2 (ja) 2023-02-28
WO2021196574A1 (zh) 2021-10-07
JP2022535336A (ja) 2022-08-08
US20230205962A1 (en) 2023-06-29
CN111446238A (zh) 2020-07-24

Similar Documents

Publication Publication Date Title
CN111446238B (zh) 用于优化芯片静电泄放能力的管脚环的自动布局方法
CN111475994B (zh) 芯片设计中填补环的自动布局方法
US6516446B2 (en) Design system for flip chip semiconductor device
KR101724261B1 (ko) 표준 셀들과 적어도 한 개의 메모리 인스턴스를 포함하는 집적회로의 레이아웃 생성방법
US6725439B1 (en) Method of automated design and checking for ESD robustness
US7032190B2 (en) Integrated circuits, and design and manufacture thereof
JP2007299898A (ja) 半導体装置および半導体装置のレイアウト設計方法
US20080201677A1 (en) Integrated Circuit (IC) Chip Input/Output (I/O) Cell Design Optimization Method And IC chip With Optimized I/O Cells
JP2004252717A (ja) 半導体集積回路の設計方法、設計装置、セルライブラリのデータ構造および自動レイアウトプログラム
US20010015447A1 (en) Semiconductor integrated circuit device, design method for the same and computer-readable recording medium where I/O cell library is recorded
US8997032B2 (en) Method for input/output design of chip
KR20110127068A (ko) 집적된 jfet들을 갖는 실리콘 제어 정류기에 기초한 정전기 방전 보호 회로, 동작 방법 및 설계 구조
US7302663B1 (en) Automatic antenna diode insertion for integrated circuits
US20160020199A1 (en) Semiconductor structure with spare cell region
US7334206B2 (en) Cell builder for different layer stacks
WO2009002301A1 (en) System and method for automatic elimination of voltage drop
US20050116738A1 (en) Integrated circuits, and design and manufacture thereof
JP2009206402A (ja) 半導体装置の設計方法及び半導体装置
CN102082424B (zh) I/o单元及集成电路芯片
US11092885B2 (en) Manufacturing methods of semiconductor devices
Li et al. ESD design rule checker
KR101677760B1 (ko) 핀 익스텐션을 이용하여 오류 교정이 가능한 반도체 장치 및 그 설계 방법
Lee et al. Fast flip-chip pin-out designation respin by pin-block design and floorplanning for package-board codesign
JP5832759B2 (ja) 半導体集積回路の設計方法
JP2001210717A (ja) 大規模集積回路装置の自動配置配線方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP03 Change of name, title or address
CP03 Change of name, title or address

Address after: Room 101-401, building 38, digital Silicon Valley Industrial Park, No. 999, Yinhuang East Road, Maanshan economic and Technological Development Zone, 243000, Anhui Province

Patentee after: Dongke semiconductor (Anhui) Co.,Ltd.

Address before: Building 38, digital Silicon Valley International Industrial Park, 999 Yinhuang East Road, Dangtu County, Ma'anshan City, Anhui Province 243100

Patentee before: ANHUI DONGKE SEMICONDUCTOR Co.,Ltd.