CN111410963A - 硅层刻蚀剂组合物、制备其的方法及形成图案的方法 - Google Patents

硅层刻蚀剂组合物、制备其的方法及形成图案的方法 Download PDF

Info

Publication number
CN111410963A
CN111410963A CN202010025962.8A CN202010025962A CN111410963A CN 111410963 A CN111410963 A CN 111410963A CN 202010025962 A CN202010025962 A CN 202010025962A CN 111410963 A CN111410963 A CN 111410963A
Authority
CN
China
Prior art keywords
silicon layer
etchant composition
group
nonionic surfactant
amine compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010025962.8A
Other languages
English (en)
Other versions
CN111410963B (zh
Inventor
全唱守
吴政玟
李晓山
韩勋
鲁珍圭
尹嚆重
申东雲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dongwoo Fine Chem Co Ltd
Samsung Electronics Co Ltd
Original Assignee
Dongwoo Fine Chem Co Ltd
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dongwoo Fine Chem Co Ltd, Samsung Electronics Co Ltd filed Critical Dongwoo Fine Chem Co Ltd
Publication of CN111410963A publication Critical patent/CN111410963A/zh
Application granted granted Critical
Publication of CN111410963B publication Critical patent/CN111410963B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/0405Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising semiconducting carbon, e.g. diamond, diamond-like carbon
    • H01L21/042Changing their shape, e.g. forming recesses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Abstract

一种硅层刻蚀剂组合物、制备其的方法及形成图案的方法,所述组合物包含约1重量%到约20重量%的烷基氢氧化铵;约1重量%到约30重量%的胺化合物;约0.01重量%到约0.2重量%的包括疏水基团及亲水基团两者的非离子表面活性剂;以及水,所有重量%都是基于所述硅层刻蚀剂组合物的总重量。

Description

硅层刻蚀剂组合物、制备其的方法及形成图案的方法
相关申请的交叉参考
2019年1月8号在韩国知识产权局提出申请且标题为:“硅层刻蚀剂组合物及使用其形成图案的方法”的韩国专利申请第10-2019-0002488号全文并入本文供参考。
技术领域
各实施例涉及一种硅层刻蚀剂组合物及使用所述硅层刻蚀剂组合物形成图案的方法。
背景技术
在例如动态随机存取存储器(dynamic random-access memory,DRAM)、与非门(NAND)闪存装置等半导体装置中,尽管临界尺寸(critical dimension,CD)最近急剧减小,但实现大容量的开发仍在继续。
在此种半导体装置中,例如可使用基于硅(例如,多晶硅)的层或图案作为栅电极、电容器电极、导电触点、布线(wiring line)等的材料。
发明内容
实施例可通过提供一种硅层刻蚀剂组合物来实现,所述硅层刻蚀剂组合物包含:约1重量%到约20重量%的烷基氢氧化铵;约1重量%到约30重量%的胺化合物;约0.01重量%到约0.2重量%的包括疏水基团及亲水基团两者的非离子表面活性剂;以及水,所有重量%都是基于所述硅层刻蚀剂组合物的总重量。
实施例可通过提供一种形成图案的方法来实现,所述方法包括:通过刻蚀基底上的硅层形成虚设栅极;形成绝缘层以部分包围所述虚设栅极;通过使用根据实施例的硅层刻蚀剂组合物移除所述虚设栅极;以及在通过移除所述虚设栅极而获得的开口中形成栅极结构。
实施例可通过提供一种制备根据实施例的硅层刻蚀剂组合物的方法来实现,所述方法包括:通过将胺化合物与包括疏水基团及亲水基团两者的非离子表面活性剂混合来制备添加剂混合物;以及将所述添加剂混合物与烷基氢氧化铵的水溶液混合。
实施例可通过提供一种形成图案的方法来实现,所述方法包括:通过刻蚀基底上的硅层形成虚设栅极;形成绝缘层以部分包围所述虚设栅极;通过使用包含烷基氢氧化铵、胺化合物、包括疏水基团及亲水基团两者的非离子表面活性剂以及水的刻蚀剂组合物来移除所述虚设栅极;以及在通过移除所述虚设栅极而获得的开口中形成栅极结构。
实施例可通过提供一种用于硅层刻蚀剂的添加剂混合物来实现,所述添加剂混合物包含:胺化合物;以及包括疏水基团及亲水基团两者的非离子表面活性剂。
实施例可通过提供一种制备硅层刻蚀剂组合物的方法来实现,所述方法包括:通过将胺化合物与包括疏水基团及亲水基团两者的非离子表面活性剂混合来制备根据实施例的添加剂混合物;以及将所述添加剂混合物与烷基氢氧化铵水溶液混合。
实施例可通过提供一种制备硅层刻蚀剂组合物的方法来实现,所述方法包括:通过将胺化合物与包括疏水基团及亲水基团两者的非离子表面活性剂混合来制备添加剂混合物;以及将所述添加剂混合物与烷基氢氧化铵水溶液混合。
附图说明
通过参照附图详细阐述示例性实施例,各特征对于所属领域中的技术人员将为显而易见的,在附图中:
图1到图5示出根据示例性实施例在形成图案的方法中各阶段的示意性剖视图。
具体实施方式
在实施方式中,刻蚀剂组合物可包含例如烷基氢氧化铵、胺化合物及非离子表面活性剂。
在本文中所用的术语“硅层”可指包含多晶硅或非晶硅的层。
在下文中,将详细阐述实施例。
<硅层刻蚀剂组合物>
根据示例性实施例的硅层刻蚀剂组合物(其在下文中可简称为刻蚀剂组合物)可包含例如烷基氢氧化铵、胺化合物、非离子表面活性剂及水(例如,余量的水)。
可包含烷基氢氧化铵作为通过离解氢氧离子而移除硅层的主要刻蚀试剂。在实施方式中,烷基氢氧化铵可包括由化学式1表示的季烷基氢氧化铵(quaternaryalkylammonium hydroxide)。
[化学式1]
Figure BDA0002362473030000031
在化学式1中,R1、R2、R3及R4可各自独立地为例如C1到C8烷基或C6到C8芳基。在实施方式中,R1、R2、R3及R4可各自独立地为例如C1到C4烷基。在实施方式中,R1、R2、R3及R4中的至少一者可为例如C1到C8烷基。如果R1、R2、R3及R4各自的碳数过度增加,那么氢氧离子的解离可因空间位阻(steric hindrance)而受到抑制。
在实施方式中,烷基氢氧化铵可包括例如四甲基氢氧化铵、四乙基氢氧化铵、四丙基氢氧化铵、四丁基氢氧化铵、四己基氢氧化铵、四辛基氢氧化铵、苄基三乙基氢氧化铵、二乙基二甲基氢氧化铵、甲基三丁基氢氧化铵等。烷基氢氧化铵可单独使用或组合使用。
在实施方式中,以刻蚀剂组合物的总重量计,烷基氢氧化铵可以例如约1重量%到约20重量%的量存在。在此范围内,可确保氢氧离子的解离度或者从刻蚀剂组合物解离的氢氧离子的量,并且可实现足够的刻蚀能力。在实施方式中,以刻蚀剂组合物的总重量计,烷基氢氧化铵可以例如约3重量%到约17重量%的量存在。
胺化合物可有助于调节或保持刻蚀剂组合物的酸碱度(pH),并且可被添加作为刻蚀促进剂。举例来说,胺化合物可有助于加速刻蚀剂组合物中氢氧离子的解离或生成,并且可有助于改善硅层的亲水化或润湿性。此外,胺化合物可有助于加速移除在刻蚀硅层期间产生的表面氢气。
在实施方式中,胺化合物可包括例如1-氨基-2-丙醇、2-氨基-1-丁醇、3-氨基-1-丙醇、3-氨基-1,2-丙二醇、2,3-丁二醇、二乙烯三胺、异丙胺、甲基二乙醇胺、三乙胺、三甲胺、甲胺、乙胺、丙醇胺、乙醇胺、苯胺、2-氨基戊烷、二乙胺、二乙醇胺、N-甲基乙醇胺、N-甲基二乙醇胺、2-氨基-3-甲基-1-丁醇、3-氨基-2,2-二甲基-1-丙醇、三(羟甲基)氨基甲烷、2-氨基-2-甲基-1,3-丙二醇、3-甲氨基-1-丙醇、2-二甲氨基-2-甲基-1-丙醇、1-二甲氨基-2-丙醇、3-二甲氨基-1-丙醇、2-二甲氨基-1-丙醇、2-二乙胺基-1-丙醇、2-二乙胺基-1-乙醇、2-乙胺基-1-乙醇、1-(二甲氨基)-2-丙醇、二乙醇胺、N-丙基二乙醇胺、N-异丙基二乙醇胺、N-(2-甲基丙基)二乙醇胺、N-正丁基二乙醇胺、N-叔丁基乙醇胺、N-环己基二乙醇胺、N-十二烷基二乙胺、2-(二甲氨基)乙醇、2-二乙氨基乙醇、2-二丙氨基乙醇、2-丁氨基乙醇、2-叔丁基氨基乙醇、2-环氨基乙醇、2-氨基-2-戊醇、2-[双(2-羟乙基)氨基]-2-甲基-1-丙醇、2-[双(2-羟乙基)氨基]-2-丙醇、N,N-双(2-羟丙基)乙醇胺、2-氨基-2-甲基-1-丙醇、三(羟甲基)氨基甲烷、三异丙醇胺、三甲基吡啶、二甲基吡啶等。这些胺化合物可单独使用或组合使用。
在实施方式中,胺化合物可包括例如含羟基的胺化合物(例如,烷醇胺化合物)。
在实施方式中,以刻蚀剂组合物的总重量计,胺化合物可以例如约1重量%到约30重量%的量存在。将胺化合物的量保持在约1重量%或大于1重量%可有助于确保实现刻蚀加速及气体移除的充分效果。将胺化合物的量保持在约30重量%或小于30重量%可有助于确保胺化合物不会过度吸附到硅层上,从而防止刻蚀速率的劣化。
非离子表面活性剂可有助于降低硅层的表面张力,并且可有助于抑制在刻蚀工艺中产生的泡沫或气泡。
在实施方式中,非离子表面活性剂可具有亲水基团及疏水基团相结合的结构。
亲水基团可有助于改善刻蚀剂组合物中非离子表面活性剂的渗透性。举例来说,当非离子表面活性剂吸附在硅层表面上时,亲水基团可同时加速氢氧离子的渗透。
亲水基团可具有相对大于疏水基团的分子量,并且亲水基团可改善对刻蚀剂组合物的整体亲和力。在实施方式中,亲水基团可包括例如聚氧亚烷基(polyoxyalkylene)、多羟基醇(polyhydroxy alcohol)、氟烷基磺酰胺铵(ammonium fluoroalkylsulfonamide)等。在实施方式中,亲水基团可包括例如聚氧亚烷基。
在实施方式中,聚氧亚烷基结构中包含的烷基氧化物的重复次数可为2到8次(例如,聚氧亚烷基基团可包括2到8个烷基氧化物部分)。在此范围内,可防止过度的空间位阻及分子量的过度增加,并且可易于确保与刻蚀剂组合物的充分相互作用。
疏水基团可有助于加速在硅层表面上的吸附,并且可移除或解吸在刻蚀工艺中产生的泡沫或气泡。为了有助于改善在硅层表面上的吸附,与亲水基团相比,疏水基团可具有相对较低的分子量结构。
在实施方式中,疏水基团可包括例如脂族或芳族烃基。在实施方式中,疏水基团可包括例如环状结构。举例来说,为了有助于改善非离子表面活性剂对硅层周围图案之间空间的渗透性,疏水基团可包括脂环族环或芳族环。在实施方式中,疏水基团可包括例如芳环。
在实施方式中,疏水基团可包括例如丙基、异丙基、丁基、异丁基、仲丁基、叔丁基、苯基、萘基、乙基己基、癸基、月桂基、异十三烷基、十六烷基、油烯基、硬脂酰基(stearylgroup)、烷基苯基(甲基苯基或辛基苯基)等。
在实施方式中,疏水基团可具有例如C3到C18结构。在实施方式中,疏水基团可具有例如C3到C10结构。在此范围内,通过疏水基团的吸附/解吸来移除泡沫或气泡可得到进一步改善。
在实施方式中,亲水基团可通过醚键(-O-)连接到疏水基团。
在实施方式中,以刻蚀剂组合物的总重量计,非离子表面活性剂可以例如约0.01重量%到约0.2重量%的量存在。将非离子表面活性剂的量保持在约0.01重量%或大于0.01重量%可有助于确保实现移除泡沫或气泡的充分效果。将非离子表面活性剂的量保持在约0.2重量%或小于0.2重量%可有助于确保在不降低刻蚀速率的情况下保持降低表面张力的效果。
刻蚀剂组合物可包含水,例如剩余量或余量的水(例如,去离子水)。在本文中所用的术语“剩余量”或“余量”可指依据组分或试剂的添加而变化的可变量。举例来说,术语“剩余量”或“余量”可指除了以上已阐述的烷基氢氧化铵、胺化合物及非离子表面活性剂各自的量之外的剩余量,或者除了烷基氢氧化铵、胺化合物、非离子表面活性剂及其他添加剂各自的量之外的剩余量。
在实施方式中,刻蚀剂组合物可进一步包含添加剂,所述添加剂不抑制由烷基氢氧化铵、胺化合物及非离子表面活性剂提供的刻蚀能力、表面张力降低效果、气泡移除效果等。在实施方式中,添加剂可包括例如刻蚀促进剂、腐蚀抑制剂、酸碱度控制剂等。
在实施方式中,刻蚀剂组合物的酸碱度可调节到例如约11到约14的范围。在此酸碱度范围内,可抑制对其他绝缘结构、半导体图案、基底等(除了作为刻蚀目标层的硅层)的损坏。
在实施方式中,刻蚀剂组合物可制备成2-液体型(2-liquid type)组合物。举例来说,用于硅层刻蚀剂的初步刻蚀剂组合物或添加剂混合物可通过混合胺化合物及非离子表面活性剂来制备。然后可将用于硅层刻蚀剂的初步刻蚀剂组合物或添加剂混合物与烷基氢氧化铵水溶液混合。举例来说,具有目标成分的刻蚀剂组合物可由相对浓缩的初步刻蚀剂组合物制备。
由于刻蚀剂组合物以上述2-液体型的方式制备,因此胺化合物与非离子表面活性剂可预先彼此混合并稳定。举例来说,可防止活性抑制,否则会由于胺化合物及非离子表面活性剂预先与烷基氢氧化铵接触而发生活性抑制。
如上所述,在根据示例性实施例的刻蚀剂组合物中,可通过烷基氢氧化铵与胺化合物之间的相互作用来增加硅层的刻蚀速率,并且可通过非离子表面活性剂与胺化合物之间的相互作用来实现表面张力的降低及润湿性的改善。举例来说,可防止由硅层表面上的泡沫或气泡导致的不良刻蚀,并且可实现高刻蚀速率及刻蚀均匀性。
<图案形成方法>
图1到图5示出根据示例性实施例在形成图案的方法中各阶段的示意性剖视图。在实施方式中,如图1到5所示,半导体逻辑装置可包括使用硅层的多替换栅极(poly-replacement gate)或栅极最后工艺(gate-last process)。
在实施方式中,刻蚀剂组合物可用于形成各种结构或图案(例如,布线、触点及栅极)的工艺。
参照图1,可在基底100上以此所陈述的次序依序形成栅极绝缘层110及虚设栅极层120。
基底100可包含半导体材料,例如单晶硅、单晶锗或III-V族化合物。
栅极绝缘层110可包含例如氧化硅、高介电常数(high-k)金属氧化物等。虚设栅极层120可包含例如多晶硅或非晶硅。在实施方式中,可通过化学气相沉积(chemical vapordeposition,CVD)工艺、溅射工艺、物理气相沉积(physical vapor deposition,PVD)工艺、原子层沉积(atomic layer deposition,ALD)工艺等形成栅极绝缘层110。
参照图2,可通过部分刻蚀虚设栅极层120及栅极绝缘层110来形成虚设栅极125及栅极绝缘图案115。
举例来说,可在虚设栅极层120上形成硬掩模或光致抗蚀剂图案。可通过使用硬掩模或光致抗蚀剂图案作为刻蚀掩模的干刻蚀工艺形成虚设栅极125及栅极绝缘图案115。
参照图3,可在基底100上形成绝缘层130使得虚设栅极125的顶表面被暴露出。举例来说,可沉积包括氧化硅、氮化硅、氮氧化硅、聚硅氧烷等的绝缘材料,随后通过化学机械抛光(chemical mechanical polishing,CMP)工艺平坦化绝缘材料使得虚设栅极125的顶表面被暴露出,从而形成绝缘层130。
参照图4,可使用根据示例性实施例的前述刻蚀剂组合物来移除虚设栅极125。可在通过移除虚设栅极125获得的空间中形成开口135。
如上所述,刻蚀剂组合物可通过烷基氢氧化铵与胺化合物之间的相互作用而对硅层具有改善的刻蚀速率,并且可有助于通过非离子表面活性剂与胺化合物之间的相互作用而防止在硅层表面上产生泡沫或气泡。举例来说,可在没有刻蚀缺陷的情况下移除具有纳米级大小的虚设栅极125。
参照图5,可在开口135中形成栅极结构。
举例来说,可在绝缘层130上形成包含金属氮化物(例如,氮化钛或氮化钽)的阻挡层及包含金属(例如,钨、钴或铜)的栅极金属层以填充开口135。接下来,可通过化学机械抛光工艺平坦化栅极金属层及阻挡层使得绝缘层130的顶表面被暴露出,从而形成包括阻挡图案140及金属栅极150的栅极结构。
在实施方式中,再次参照图4,在移除虚设栅极125之后,也可移除栅极绝缘图案115。在此种情形下,栅极绝缘图案115可被设置为虚设栅极绝缘层。接下来,在开口135中形成栅极结构之前,可再次形成栅极绝缘层。
提供以下实例及比较例是为了突出一个或多个实施例的特性,但应理解,所述实例及比较例不应被解释为限制实施例的范围,比较例也不应被解释为位于实施例的范围外。此外,应理解,实施例并不仅限于在实例及比较例中描述的特定细节。
根据在表1(实例)及表2(比较例)中列出的量(重量%)混合了各组分,并且一般添加了余量的水,从而制备实例及比较例中每一者的刻蚀剂组合物。
表1
Figure BDA0002362473030000081
表2
Figure BDA0002362473030000091
表1及表2中采用的组分如下。
A-1)四甲基氢氧化铵
A-2)四乙基氢氧化铵
A-3)四丙基氢氧化铵
A-4)四丁基氢氧化铵
B-1)聚氧乙烯苯基醚,n=2个烷基氧化物部分
B-2)聚氧乙烯苯基醚,n=4个烷基氧化物部分
B-3)聚氧乙烯β-萘基醚
B-4)聚氧乙烯2-乙基己基醚
B-5)聚氧乙烯癸基醚
B-6)聚氧乙烯月桂基醚
B-7)聚氧乙烯油烯基醚
B-8)十二烷基硫酸钠
B-9)月桂基三甲基溴化铵
C-1)1-氨基-2-丙醇
C-2)2-氨基-1-丁醇
C-3)N-甲基乙醇胺
C-4)二乙烯三胺
C-5)三乙醇胺
实验例
1)评估表面气泡
通过将硅晶片切割成1.5厘米×1.5厘米的大小并浸入实例及比较例各自的刻蚀剂组合物中1分钟而制备了样品,其中在硅晶片上形成有厚度为
Figure BDA0002362473030000101
的多晶硅层。此处,在多晶硅层上产生的表面气泡观察及评估如下。
<评估标准>
◎:以多晶硅层的表面积计,气泡覆盖面积等于或小于1%
○:气泡覆盖面积大于1%且等于或小于10%
△:气泡覆盖面积大于10%且等于或小于20%
×:气泡覆盖面积大于20%
2)评估多晶硅层的润湿性
测量了每个实例及比较例的刻蚀剂组合物相对于多晶硅层的表面的接触角(C/A),从而评估润湿性。评估标准如下。
<评估标准>
◎:C/A等于或小于10°
○:C/A大于10°且等于或小于20°
△:C/A大于20°且等于或小于30°
×:C/A大于30°
3)评估多晶硅层的刻蚀速率
将上面沉积有厚度为
Figure BDA0002362473030000102
的多晶硅层的硅晶片切割成1.5厘米×1.5厘米的大小,从而制备样本。在70℃及400rpm的条件下,将样本浸入含有实例及比较例各自的刻蚀剂组合物的浴中10秒钟。接下来,从浴中取出样本,用水清洗并风干,随后使用扫描电子显微镜(scanning electron microscope,SEM)测量多晶硅层的厚度,且然后通过计算从多晶硅层的初始厚度的厚度变化来计算多晶硅层的刻蚀速率。
<评估标准>
◎:刻蚀速率等于或大于
Figure BDA0002362473030000103
○:刻蚀速率小于
Figure BDA0002362473030000104
且等于或大于
Figure BDA0002362473030000105
△:刻蚀速率小于
Figure BDA0002362473030000106
且等于或大于
Figure BDA0002362473030000107
×:刻蚀速率小于
Figure BDA0002362473030000108
4)评估泡沫破裂率
通过使用气泡发生器由实例及比较例中每一者的刻蚀剂组合物人工产生泡沫10秒钟,随后检查泡沫破裂趋势。评估标准如下。
<评估标准>
◎:泡沫破裂率等于或大于5%/sec
○:泡沫破裂率小于5%/秒且等于或大于3%/sec
△:泡沫破裂率小于3%/sec且等于或大于1%/sec
×:泡沫破裂率小于1%/sec
评估结果示出于表3及表4中。
[表3]
表面气泡 润湿性 刻蚀速率 泡沫破裂率
实例1
实例2
实例3
实例4
实例5
实例6
实例7
实例8
实例9
实例10
实例11
实例12
实例13
实例14
实例15
实例16
实例17
实例18
实例19
实例20
实例21
实例22
实例23
实例24
实例25
实例26
实例27
实例28
实例29
实例30
实例31
实例32
[表4]
表面气泡 润湿性 刻蚀速率 泡沫破裂率
比较例1 X
比较例2 X
比较例3 X
比较例4 X
比较例5 X
比较例6 X X
比较例7 X
比较例8 X
比较例9 X
比较例10 X X
比较例11 X
比较例12
比较例13 X
比较例14 X
比较例15 X X X
比较例16
比较例17
参照表3及表4,实例的刻蚀剂组合物(包括上述组分及量)整体上相对于多晶硅层保持了改善的刻蚀速率,并且高效地抑制了表面气泡及泡沫。
在将实例1到实例9的刻蚀剂组合物与实例10到实例15的刻蚀剂组合物进行比较时,刻蚀剂组合物在非离子表面活性剂的疏水基团包括芳环的情况下表现出更好的气泡移除及泡沫破裂效果。
其中疏水基团的碳数稍微增加(碳数大于10)的实例29及实例30的刻蚀剂组合物表现出略微劣化的泡沫破裂效果。
综上所述,当已经通过直接刻蚀金属层而形成栅电极或布线时,由于刻蚀分辨率的限制,可能不容易形成具有预期精细大小的图案,并且已经考虑了使用多晶硅层的工艺。
当打算执行高可靠性半导体装置工艺时,工艺良率或尺寸可靠性可能会因细小杂质或工艺副产物而劣化。举例来说,当执行刻蚀工艺时,刻蚀均匀性可能会因从刻蚀剂产生的气泡而劣化。
举例来说,当执行硅层刻蚀工艺时,刻蚀剂组合物可有助于改善刻蚀速率,同时保持刻蚀稳定性及可靠性用于形成细小图案。
举例来说,一些多晶硅层刻蚀剂可包括氢氧化铵,并且可考虑进行组分调整用于稳定形成细小图案。
一个或多个实施例可提供一种表现出改善的刻蚀稳定性及刻蚀速率的硅层刻蚀剂组合物。
实施例提供一种刻蚀剂组合物,所述刻蚀剂组合物可具有改善的稳定性及效率,并且能够刻蚀硅层。
此外,实施例可提供一种使用上述刻蚀剂组合物形成图案的方法。
本文中已公开了各示例性实施例,且尽管采用了特定术语,然而所述术语仅被用于且被解释为一般性及说明性意义,而非用于限制目的。在一些情况下,如在本申请提出申请时对于所属领域中的普通技术人员来说将显而易见,除非另外具体地指明,否则结合特定实施例阐述的特征、特性和/或元件可单独使用或者与结合其他实施例阐述的特征、特性和/或元件组合使用。因此,所属领域中的技术人员应理解,在不背离以上权利要求所述的本发明的精神及范围的条件下,可作出形式及细节上的各种改变。

Claims (21)

1.一种硅层刻蚀剂组合物,包含:
1重量%到20重量%的烷基氢氧化铵;
1重量%到30重量%的胺化合物;
0.01重量%到0.2重量%的包括疏水基团及亲水基团两者的非离子表面活性剂;以及
水,
所有重量%都是基于所述硅层刻蚀剂组合物的总重量。
2.根据权利要求1所述的硅层刻蚀剂组合物,其中所述烷基氢氧化铵包括由化学式1表示的烷基氢氧化铵:
[化学式1]
Figure FDA0002362473020000011
R1、R2、R3及R4各自独立地为C1到C8烷基或C6到C8芳基,条件是R1、R2、R3及R4中的至少一者是C1到C8烷基。
3.根据权利要求2所述的硅层刻蚀剂组合物,其中R1、R2、R3及R4各自独立地为C1到C4烷基。
4.根据权利要求1所述的硅层刻蚀剂组合物,其中所述非离子表面活性剂的所述亲水基团包括聚氧亚烷基。
5.根据权利要求4所述的硅层刻蚀剂组合物,其中所述非离子表面活性剂的所述疏水基团包括C3到C10烃基。
6.根据权利要求5所述的硅层刻蚀剂组合物,其中所述非离子表面活性剂的所述疏水基团包括脂环族环或芳环。
7.根据权利要求1所述的硅层刻蚀剂组合物,其中所述非离子表面活性剂的所述疏水基团及所述亲水基团通过醚键彼此连接。
8.根据权利要求1所述的硅层刻蚀剂组合物,其中所述胺化合物包括含羟基的胺化合物。
9.根据权利要求1所述的硅层刻蚀剂组合物,其中所述硅层刻蚀剂组合物具有11到14的酸碱度。
10.一种形成图案的方法,所述方法包括:
通过刻蚀基底上的硅层形成虚设栅极;
形成绝缘层以部分包围所述虚设栅极;
通过使用根据权利要求1所述的硅层刻蚀剂组合物移除所述虚设栅极;以及
在通过移除所述虚设栅极而获得的开口中形成栅极结构。
11.一种制备根据权利要求1所述的硅层刻蚀剂组合物的方法,所述方法包括:
通过将所述胺化合物与包括疏水基团及亲水基团两者的所述非离子表面活性剂混合来制备添加剂混合物;以及
将所述添加剂混合物与所述烷基氢氧化铵的水溶液混合。
12.一种形成图案的方法,所述方法包括:
通过刻蚀基底上的硅层形成虚设栅极;
形成绝缘层以部分包围所述虚设栅极;
通过使用包含烷基氢氧化铵、胺化合物、包括疏水基团及亲水基团两者的非离子表面活性剂以及水的刻蚀剂组合物来移除所述虚设栅极;以及
在通过移除所述虚设栅极而获得的开口中形成栅极结构。
13.根据权利要求12所述的方法,其中所述刻蚀剂组合物包含:
1重量%到20重量%的所述烷基氢氧化铵;
1重量%到30重量%的所述胺化合物;
0.01重量%到0.2重量%的所述非离子表面活性剂;以及
水,
所有重量%都是基于所述刻蚀剂组合物的总重量。
14.根据权利要求12所述的方法,其中形成所述栅极结构包括形成阻挡图案及金属栅极使得所述阻挡图案包含金属氮化物,所述阻挡图案及所述金属栅极以此所陈述的次序依序堆叠在所述开口中。
15.根据权利要求12所述的方法,其中所述非离子表面活性剂的所述亲水基团包括聚氧亚烷基。
16.根据权利要求15所述的方法,其中所述非离子表面活性剂的所述疏水基团包括C3到C10脂环族环或芳环。
17.根据权利要求12所述的方法,其中所述烷基氢氧化铵包括由化学式1表示的烷基氢氧化铵:
[化学式1]
Figure FDA0002362473020000031
R1、R2、R3及R4各自独立地为C1到C8烷基或C6到C8芳基,条件是R1、R2、R3及R4中的至少一者为C1到C8烷基。
18.根据权利要求17所述的方法,其中R1、R2、R3及R4各自独立地为C1到C4烷基。
19.一种用于硅层刻蚀剂的添加剂混合物,所述添加剂混合物包含:
胺化合物;以及
包括疏水基团及亲水基团两者的非离子表面活性剂。
20.一种制备硅层刻蚀剂组合物的方法,所述方法包括:
通过将所述胺化合物与包括所述疏水基团及所述亲水基团两者的所述非离子表面活性剂混合来制备根据权利要求19所述的添加剂混合物;以及
将所述添加剂混合物与烷基氢氧化铵水溶液混合。
21.一种制备硅层刻蚀剂组合物的方法,所述方法包括:
通过将胺化合物与包括疏水基团及亲水基团两者的非离子表面活性剂混合来制备添加剂混合物;以及
将所述添加剂混合物与烷基氢氧化铵水溶液混合。
CN202010025962.8A 2019-01-08 2020-01-07 硅层刻蚀剂组合物、制备其的方法及形成图案的方法 Active CN111410963B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2019-0002488 2019-01-08
KR1020190002488A KR20200086180A (ko) 2019-01-08 2019-01-08 실리콘 막 식각액 조성물 및 이를 사용한 패턴 형성 방법

Publications (2)

Publication Number Publication Date
CN111410963A true CN111410963A (zh) 2020-07-14
CN111410963B CN111410963B (zh) 2023-07-21

Family

ID=71403689

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010025962.8A Active CN111410963B (zh) 2019-01-08 2020-01-07 硅层刻蚀剂组合物、制备其的方法及形成图案的方法

Country Status (4)

Country Link
US (1) US11168253B2 (zh)
KR (1) KR20200086180A (zh)
CN (1) CN111410963B (zh)
TW (1) TW202028427A (zh)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1052513A (zh) * 1989-10-03 1991-06-26 国际商业机器公司 各向异性腐蚀硅片的改进方法与硅片腐蚀溶液
CN101319172A (zh) * 2007-06-06 2008-12-10 关东化学株式会社 用于基板的洗涤或蚀刻的碱性水溶液组合物
CN101952406A (zh) * 2007-12-06 2011-01-19 弗劳恩霍弗应用技术研究院 用于晶片表面处理的织构化和清洗剂及其应用
TW201326369A (zh) * 2011-12-26 2013-07-01 Dongwoo Fine Chem Co Ltd 結晶矽晶圓紋理蝕刻液組成物及紋理蝕刻方法
US20140001145A1 (en) * 2011-03-04 2014-01-02 Fujifilm Corporation Method of forming a capacitor structure, and a silicon etching liquid used in this method
CN105143517A (zh) * 2013-04-22 2015-12-09 高级技术材料公司 铜清洁和保护配制物
CN107527808A (zh) * 2015-11-25 2017-12-29 气体产品与化学公司 蚀刻组合物和使用所述蚀刻组合物的方法
CN108231581A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 湿蚀刻化学品
CN108998032A (zh) * 2017-06-06 2018-12-14 关东鑫林科技股份有限公司 蚀刻液组成物及使用该蚀刻液组成物的蚀刻方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR950019927A (ko) 1993-12-30 1995-07-24 김주용 폴리실리콘 식각용액
JP3994992B2 (ja) 2004-08-13 2007-10-24 三菱瓦斯化学株式会社 シリコン微細加工に用いる異方性エッチング剤組成物及びエッチング方法
TW200745313A (en) * 2006-05-26 2007-12-16 Wako Pure Chem Ind Ltd Substrate etching liquid
CN101884095B (zh) * 2007-10-04 2012-06-27 三菱瓦斯化学株式会社 硅蚀刻液和蚀刻方法
JP2009123798A (ja) 2007-11-13 2009-06-04 Mitsubishi Gas Chem Co Inc シリコンエッチング液およびエッチング方法
JP5302551B2 (ja) 2008-02-28 2013-10-02 林純薬工業株式会社 シリコン異方性エッチング液組成物
US8415254B2 (en) 2008-11-20 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing dummy poly in a gate last process
US9023708B2 (en) 2013-04-19 2015-05-05 United Microelectronics Corp. Method of forming semiconductor device
KR102468776B1 (ko) 2015-09-21 2022-11-22 삼성전자주식회사 폴리실리콘 습식 식각용 조성물 및 이를 이용한 반도체 소자의 제조 방법
KR102532413B1 (ko) 2016-07-21 2023-05-15 동우 화인켐 주식회사 폴리실리콘 식각액 조성물 및 반도체 소자의 제조방법
KR20180026855A (ko) 2016-09-05 2018-03-14 동우 화인켐 주식회사 폴리실리콘 식각액 조성물 및 반도체 소자의 제조 방법
KR102595547B1 (ko) 2016-11-01 2023-10-30 주식회사 이엔에프테크놀로지 실리콘 식각액 조성물
US10934485B2 (en) 2017-08-25 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon over silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1052513A (zh) * 1989-10-03 1991-06-26 国际商业机器公司 各向异性腐蚀硅片的改进方法与硅片腐蚀溶液
CN101319172A (zh) * 2007-06-06 2008-12-10 关东化学株式会社 用于基板的洗涤或蚀刻的碱性水溶液组合物
CN101952406A (zh) * 2007-12-06 2011-01-19 弗劳恩霍弗应用技术研究院 用于晶片表面处理的织构化和清洗剂及其应用
US20140001145A1 (en) * 2011-03-04 2014-01-02 Fujifilm Corporation Method of forming a capacitor structure, and a silicon etching liquid used in this method
TW201326369A (zh) * 2011-12-26 2013-07-01 Dongwoo Fine Chem Co Ltd 結晶矽晶圓紋理蝕刻液組成物及紋理蝕刻方法
WO2013100318A1 (ko) * 2011-12-26 2013-07-04 동우화인켐 주식회사 결정성 실리콘 웨이퍼의 텍스쳐 에칭액 조성물 및 텍스쳐 에칭방법
CN105143517A (zh) * 2013-04-22 2015-12-09 高级技术材料公司 铜清洁和保护配制物
CN107527808A (zh) * 2015-11-25 2017-12-29 气体产品与化学公司 蚀刻组合物和使用所述蚀刻组合物的方法
CN108231581A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 湿蚀刻化学品
CN108998032A (zh) * 2017-06-06 2018-12-14 关东鑫林科技股份有限公司 蚀刻液组成物及使用该蚀刻液组成物的蚀刻方法

Also Published As

Publication number Publication date
KR20200086180A (ko) 2020-07-16
US20200216757A1 (en) 2020-07-09
US11168253B2 (en) 2021-11-09
CN111410963B (zh) 2023-07-21
TW202028427A (zh) 2020-08-01

Similar Documents

Publication Publication Date Title
TWI509690B (zh) 選擇性移除氮化矽之組合物及方法
EP1612611B1 (en) Composition and process for removing photoresist residue and polymer residue
KR101941910B1 (ko) 실리콘 에칭 방법, 이것에 사용되는 실리콘 에칭액, 및 그 키트
US10995268B2 (en) Etching composition effective to selectively wet etch a silicon nitride film
PH12016000170A1 (en) Tin hard mask and etch residue removal
WO2006110279A1 (en) Selective wet etching of metal nitrides
EP1880410A2 (en) Selective wet etching of oxides
CN106796878B (zh) 抑制了包含钨的材料的损伤的半导体元件的清洗液、及使用其的半导体元件的清洗方法
WO2001032794A1 (en) A ta barrier slurry containing an organic additive
KR20210018976A (ko) 애싱된 스핀-온 유리의 선택적 제거 방법
TW201823518A (zh) 濕蝕刻化學品
US7943562B2 (en) Semiconductor substrate cleaning methods, and methods of manufacture using same
CN104823267A (zh) 半导体元件用清洗液及使用它的清洗方法
JP2023534014A (ja) タングステン膜に対する窒化チタン膜のエッチング選択比を調節するためのエッチング液組成物、及びこれを用いたエッチング方法
JP2022520655A (ja) シリコン窒化膜エッチング組成物
CN111410963B (zh) 硅层刻蚀剂组合物、制备其的方法及形成图案的方法
KR102080587B1 (ko) 폴리실리콘 및 아몰퍼스 실리콘 식각액 조성물
CN114231288B (zh) 硅蚀刻液组合物、图案形成方法、阵列基板的制造方法、以及阵列基板
US20220363990A1 (en) Selective etchant compositions and methods
KR102629576B1 (ko) 절연막 식각액 조성물 및 이를 이용한 패턴 형성 방법
KR20220078998A (ko) 실리콘 식각액 조성물 및 이를 사용한 패턴 형성 방법
KR20220081149A (ko) 실리콘 식각액 조성물 및 이를 사용한 패턴 형성 방법
TW202006820A (zh) 使用含硫原子之氣體分子之電漿蝕刻方法
US9873857B2 (en) Cleaning composition
US20220205111A1 (en) Method for producing semiconductor element and chemical solution to be used in method for producing semiconductor element

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant