CN111052874B - 等离子体处理装置和生成等离子体的方法 - Google Patents

等离子体处理装置和生成等离子体的方法 Download PDF

Info

Publication number
CN111052874B
CN111052874B CN201980004388.3A CN201980004388A CN111052874B CN 111052874 B CN111052874 B CN 111052874B CN 201980004388 A CN201980004388 A CN 201980004388A CN 111052874 B CN111052874 B CN 111052874B
Authority
CN
China
Prior art keywords
frequency
electric power
signal
frequency electric
period
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980004388.3A
Other languages
English (en)
Other versions
CN111052874A (zh
Inventor
久保田绅治
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN111052874A publication Critical patent/CN111052874A/zh
Application granted granted Critical
Publication of CN111052874B publication Critical patent/CN111052874B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Treatment Of Fiber Materials (AREA)

Abstract

例示的实施方式的等离子体处理装置包括腔室、高频电源部和修正信号生成部。高频电源部在第一期间输出脉冲状的高频电功率。高频电源部在第一区间之后的一个以上的第二期间输出合成高频电功率。修正信号生成部生成相对于第一期间的反射波监测信号以反相振荡的修正信号。高频电源部使用修正信号来生成合成高频电功率。电源部交替地反复进行第一期间的脉冲状的高频电功率的输出和一个以上的第二期间的合成高频电功率的输出。

Description

等离子体处理装置和生成等离子体的方法
技术领域
本发明涉及等离子体处理装置和生成等离子体的方法。
背景技术
为了进行电子器件的制造而进行等离子体处理。在等离子体处理中,使用等离子体处理装置。等离子体处理装置包括腔室和高频电源。高频电源为了由腔室内的气体生成等离子体而输出高频电功率。
在等离子体处理装置中,为了降低对高频电功率的反射波,在高频电源与负载之间设置有匹配器。关于匹配器,在专利文献1~3中有记载。
现有技术文献
专利文献
专利文献1:日本特开平10-241895号公报。
专利文献2:日本特表2018-504864号公报。
专利文献3:日本专利第3629705号说明书。
发明内容
发明所要解决的问题
在等离子体处理装置中,有时为了生成等离子体而使用脉冲状的高频电功率。在使用脉冲状的高频电功率的情况下,也要求降低反射波。
用于解决问题的方式
根据一个例示的实施方式,提供等离子体处理装置。包括等离子体处理装置腔室、高频电源部和修正信号生成部。高频电源部为了在腔室内从气体生成等离子体,输出脉冲状的高频电功率或者合成高频电功率。合成高频电功率是脉冲状的高频电功率与修正高频电功率的合成电功率。修正高频电功率是相对于对脉冲状的高频电功率的反射波,以反相振荡的电功率。修正信号生成部生成修正信号。修正信号是相对于表示对脉冲状的高频电功率的反射波的反射波监测信号,以反相振荡的信号。高频电源部在第一期间输出脉冲状的高频电功率。修正信号生成部由第一期间的反射波监测信号生成修正信号。高频电源部在第一期间之后的一个以上的第二期间分别输出使用修正信号生成的合成高频电功率。高频电源部交替地重复进行第一期间的脉冲状的高频电功率的输出和一个以上的第二期间的合成高频电功率的输出。
发明的效果
在使用脉冲状的高频电功率的情况下,能够降低反射波。
附图说明
图1是概略地表示一个例示的实施方式的等离子体处理装置的图。
图2是表示图1所示的等离子体处理装置的高频电源部的结构的一个例子和修正信号生成部的结构的一个例子的图。
图3是表示与图1所示的等离子体处理装置的等离子体的生成相关联的时序图的一个例子的图。
图4是表示在图1所示的等离子体处理装置生成的反射波监测信号的一个例子和反相信号的一个例子的图。
图5是表示一个例示的实施方式的生成等离子体的方法的流程图。
具体实施方式
以下,对各种例示的实施方式进行说明。
一个例示的实施方式中,提供等离子体处理装置。等离子体处理装置包括腔室、高频电源部和修正信号生成部。高频电源部为了在腔室内从气体生成等离子体,输出脉冲状的高频电功率或者合成高频电功率。合成高频电功率是脉冲状的高频电功率与修正高频电功率的合成电功率。修正高频电功率是相对于对脉冲状的高频电功率的反射波,以反相振荡的电功率。修正信号生成部生成修正信号。修正信号是相对于表示对脉冲状的高频电功率的反射波的反射波监测信号,以反相振荡的信号。高频电源部在第一期间输出脉冲状的高频电功率。修正信号生成部根据第一期间的反射波监测信号来生成修正信号。高频电源部在第一期间之后的一个以上的第二期间分别输出使用修正信号生成的合成高频电功率。高频电源部交替地反复进行第一期间的脉冲状的高频电功率的输出和一个以上的第二期间的合成高频电功率的输出。
在一个例示的实施方式的在等离子体处理装置中,在一个以上的第二期间分别输出合成高频电功率。合成高频电功率中包含的修正高频电功率是使用相对于反射波监测信号以反相振荡的修正信号生成的。因此,根据该等离子体处理装置,在一个以上的第二期间中反射波分别被降低。此外,通过在第一期间输出脉冲状的高频电功率而生成修正信号后,在一个以上的第二期间分别使用该修正信号生成合成高频电功率。第一期间和一个以上的第二期间交替地反复。因此,能够适时更新适合于反射波的降低的合成高频电功率。
在一个例示的实施方式中,等离子体处理装置还包括定向耦合器。定向耦合器设置在输送从高频电源部输出的脉冲状的高频电功率和合成高频电功率的电路径上。修正信号生成部根据由定向耦合器输出的反射波监测信号来生成修正信号。高频电源部具有高频信号发生器、加法器和放大器。高频信号发生器产生脉冲状的高频信号。加法器对脉冲状的高频信号加上修正信号来生成合成高频信号。放大器将脉冲状的高频信号放大来生成脉冲状的高频电功率,并对合成高频信号放大来生成合成高频电功率。在第一期间,修正信号没有被加到脉冲状的高频信号上。
在一个例示的实施方式中,第一期间和一个以上的第二期间也可以是与由规定的频率确定的连续的多个周期分别相同的期间。
在一个例示的实施方式中,修正信号生成部生成反射波监测信号的反相信号,并对该反相信号进行修正以消除放大器的放大率对输入信号的振幅的依赖性,由此生成修正信号。放大器的放大率有时具有对于输入信号的振幅依赖性,即放大率的振幅依赖性。根据该实施方式,能够生成修正信号以预先消除放大器的放大率的振幅依赖性。
在一个例示的实施方式中,等离子体处理装置作为第一高频电源部包括上述高频电源部。等离子体处理装置还包括支承台、第二高频电源部和同步信号发生器。支承台具有下部电极。支承台在腔室中支承基片。第二高频电源部与支承台电连接,输出另一高频电功率。另一高频电功率的频率比脉冲状的高频电功率的频率低。同步信号发生器产生与另一高频电功率同步的同步信号。第一期间和一个以上的第二期间与另一高频电功率的连续的多个周期分别相同。第一高频电源部依照同步信号在第一期间生成脉冲状的高频电功率,并依照同步信号在一个以上的第二期间分别生成合成高频电功率。
在另一例示的实施方式中,提供一种在等离子体处理装置的腔室内生成等离子体的方法。该方法包括:(i)为了在腔室内从气体生成等离子体,在第一期间输出脉冲状的高频电功率的步骤;(ii)生成相对于反射波监测信号以反相振荡的修正信号的步骤,其中反射波监测信号是表示对脉冲状的高频电功率的反射波的信号;和(iii)为了在腔室内从气体生成等离子体,在第一区间之后的一个以上的第二期间分别输出合成高频电功率的步骤。合成高频电功率是脉冲状的高频电功率与修正高频电功率的合成电功率。修正高频电功率是相对于对脉冲状的高频电功率的反射波以反相振荡的电功率。修正高频电功率是使用修正信号生成的。输出脉冲状的高频电功率的步骤和输出合成高频电功率的步骤,以交替地反复进行第一期间的脉冲状的高频电功率的输出和一个以上的第二期间的合成高频电功率的输出的方式被执行。
以下,参照附图对各种例示的实施方式进行详细说明。另外,在各图中,对相同或者相当的部分标注相同的附图标记。
图1是概略地表示一个例示的实施方式的等离子体处理装置的图。图1所示的等离子体处理装置1是电容耦合型等离子体蚀刻装置。等离子体处理装置1包括腔室10。腔室10在其中提供内部空间10s。
腔室10包括腔室主体12。腔室主体12具有大致圆筒形状。内部空间10s处在腔室主体12的内侧。腔室主体12例如由铝形成。在腔室主体12的内壁面上设置有具有耐腐蚀性的膜。具有耐腐蚀性的膜能够为氧化铝、氧化钇这样的由陶瓷形成的膜。
在腔室主体12的侧壁形成有通路12p。基片W在内部空间10s与腔室10的外部之间输送时从通路12p通过。通路12p可由闸阀12g开闭。闸阀12g沿腔室主体12的侧壁设置。
在腔室主体12的底部上设置有支承部13。支承部13由绝缘材料形成。支承部13具有大致圆筒形状。支承部13在内部空间10s中从腔室主体12的底部起向上方延伸。支承部13对支承台14进行支承。支承台14设置在内部空间10s中。支承台14在腔室10内即内部空间10s中支承基片W。
支承台14具有下部电极18和静电吸盘20。支承台14能够进一步具有电极板16。电极板16例如由铝这样的导体形成,具有大致圆盘形状。下部电极18设置在电极板16上。下部电极18例如由铝这样的导体形成,具有大致圆盘形状。下部电极18与电极板16电连接。
静电吸盘20设置在下部电极18上。在静电吸盘20的上表面上载置基片W。静电吸盘20具有主体和电极。静电吸盘20的主体具有大致圆盘形状,由电介质形成。静电吸盘20的电极为膜状的电极,设置在静电吸盘20的主体内。静电吸盘20的电极经开关20s与直流电源20p连接。当向静电吸盘20的电极施加来自直流电源20p的电压时,在静电吸盘20与基片W之间产生静电引力。通过所产生的静电引力,基片W被静电吸盘20吸引,被静电吸盘20保持。
在下部电极18的周缘部上,以包围基片W的边缘的方式配置有聚焦环FR。聚焦环FR用于提高对于基片W的、等离子体处理的面内均匀性。聚焦环FR没有限定,能够由硅、碳化硅或者石英形成。
在下部电极18的内部设置有流路18f。在流路18f,从设置在腔室10的外部的冷机单元22经配管22a被供给热交换媒体(例如制冷剂)。供给至流路18f的热交换介质经配管22b返回至冷机单元22。在等离子体处理装置1,载置在静电吸盘20上的基片W的温度通过热交换介质与下部电极18的热交换来调节。
在等离子体处理装置1设置有气体供给路径24。气体供给路径24将来自传热气体供给机构的传热气体(例如He气体)向静电吸盘20的上表面与基片W的背面之间供给。
等离子体处理装置1还包括上部电极30。上部电极30设置在支承台14的上方。上部电极30通过部件32被支承于腔室主体12的上部。部件32由具有绝缘性的材料形成。上部电极30和部件32封闭腔室主体12的上部开口。
上部电极30能够包括顶板34和支承体36。顶板34的下表面是内部空间10s这侧的下表面,划定内部空间10s。顶板34能够由焦耳热少的低电阻的导电体或者半导体形成。在顶板34形成有多个气体排出孔34a。多个气体排出孔34a将顶板34在其板厚方向上贯通。
支承体36可拆装地支承于顶板34。支承体36由铝这种导电性材料形成。在支承体36的内部设置有气体扩散室36a。在支承体36形成有多个气体孔36b。多个气体孔36b从气体扩散室36a向下方延伸形成。多个气体孔36b与多个气体排出孔34a分别连通。在支承体36形成有气体导入口36c。气体导入口36c与气体扩散室36a连接。在气体导入口36c连接有气体供给管38。
在气体供给管38,通过阀组41、流量控制器组42和阀组43连接气源组40。气源组40包含多个气源。各阀组41和阀组43分别包含多个开关阀。流量控制器组42包含多个流量控制器。流量控制器组42的多个流量控制器分别是质量流量控制器或者压力控制式的流量控制器。气源组40的多个气源通过阀组41的对应的开关阀、流量控制器组42的对应的流量控制器和阀组43的对应的开关阀,与气体供给管38连接。
在等离子体处理装置1,沿腔室主体12的内壁面可拆装地设置有遮护板46。遮护板46在支承部13的外周也随之。遮护板46防止在腔室主体12附着蚀刻副产物。遮护板46例如通过在由铝形成的部件的表面形成具有耐腐蚀性的膜而构成。具有耐腐蚀性的膜能够为由氧化钇这种陶瓷形成的膜。
在支承部13与腔室主体12的侧壁之间设置有挡板48。挡板48例如通过在由铝形成的部件的表面形成具有耐腐蚀性的膜而构成。具有耐腐蚀性的膜能够为由氧化钇这种陶瓷形成的膜。在挡板48形成有多个贯通孔。在挡板48的下方且腔室主体12的底部设置有排气口12e。在排气口12e,通过排气管52连接排气装置50。排气装置50具有压力调节阀和涡轮分子泵这种真空泵。
等离子体处理装置1还包括高频电源部61。高频电源部61是第一高频电源部的一个例子。高频电源部61为了在腔室10内从气体生成等离子体而输出高频电功率HF。高频电功率HF的基本频率例如为27MHz~100MHz的范围内的频率。在一个例子中,高频电功率HF的基本频率为40.68MHz。
高频电源部61经由匹配器63与下部电极18电连接。匹配器63具有匹配电路。匹配器63的匹配电路使高频电源部61的负载侧(下部电极侧)的阻抗与高频电源部61的输出阻抗相匹配。在另一个实施方式中,高频电源部61也可以经由匹配器63与上部电极30电连接。
在一个实施方式中,等离子体处理装置1还包括定向耦合器65。定向耦合器65设置在电路径EP1上。高频电功率HF由高频电源部61输出而在电路径EP1上被输送。在一个实施方式中,定向耦合器65设置在高频电源部61与匹配器63之间。定向耦合器65使对高频电功率HF的反射波的一部分从电路径EP1分路。定向耦合器65将该反射波的一部分作为反射波监测信号MS输出。
在一个实施方式中,等离子体处理装置1能够进一步包括高频电源部62。高频电源部62是第二高频电源部的一个例子。高频电源部62输出高频电功率LF。高频电功率LF主要具有适合于将离子吸入基片W的频率。高频电功率LF的基本频率例如为400kHz~13.56MHz的范围内的频率。在一个例子中,高频电功率LF的基本频率为400kHz。
高频电源部62经由匹配器64与下部电极18电连接。匹配器64具有匹配电路。匹配器64的匹配电路使高频电源部62的负载侧(下部电极侧)的阻抗与高频电源部62的输出阻抗相匹配。
在一个实施方式中,等离子体处理装置1还包括定向耦合器66。定向耦合器66设置在电路径EP2上。高频电功率LF由高频电源部62输出而在电路径EP2上输送。在一个实施方式中,定向耦合器66设置在高频电源部62与匹配器64之间。定向耦合器66使对高频电功率LF的反射波的一部分从电路径EP2分路。定向耦合器66将该反射波的一部分作为反射波监测信号输出。来自定向耦合器66的反射波监测信号例如用于高频电功率LF的功率控制。
等离子体处理装置1能够进一步包括控制部80。控制部80能够为具有处理器、存储器这种存储部、输入装置、显示装置、信号的输入输出接口等的计算机。控制部80控制等离子体处理装置1的各部。在控制部80,操作员能够使用输入装置,进行用于管理等离子体处理装置1的指令的输入操作等。此外,在控制部80,能够通过显示装置,可视地显示等离子体处理装置1的工作状况。进一步,在控制部80的存储部,存储有控制程序和处理方案数据。为了在等离子体处理装置1执行各种处理而通过控制部80的处理器执行控制程序。控制部80的处理器执行控制程序,按照处理方案数据控制等离子体处理装置1的各部,由此在等离子体处理装置1执行后述的方法MT。
以下,除了图1,还参照图2、图3和图4。图2是表示图1所示的等离子体处理装置的电源部的结构的一个例子和修正信号生成部的结构的一个例子的图。图3是表示与图1所示的等离子体处理装置中的等离子体的生成相关联的时序图的一个例子的图。图4是表示在图1所示的等离子体处理装置生成的反射波监测信号的一个例子和反相信号的一个例子的图。
如图3所示,高频电源部61作为高频电功率HF输出脉冲状的高频电功率PHF或者合成高频电功率SHF。脉冲状的高频电功率PHF是以使得某个期间内的电平高于该期间的前后的期间内的电平的方式设定该电平的高频电功率。在一个例子中,脉冲状的高频电功率PHF在某个期间设定为接通状态,在该期间的前后的期间设定为断开状态。即,在一个例子中,脉冲状的高频电功率PHF在某个期间具有用于生成等离子体的电平,在该期间的前后的期间设定为0W。
合成高频电功率SHF是脉冲状的高频电功率PHF与修正高频电功率的合成电功率。修正高频电功率是相对于对脉冲状的高频电功率PHF的反射波以反相振荡的电功率。
高频电源部61在第一期间P1输出脉冲状的高频电功率PHF。在第一期间P1内开始进行脉冲状的高频电功率PHF的输出的定时和在第一期间P1内输出脉冲状的高频电功率PHF的时间长度由控制部80向高频电源部61指定。高频电源部61在一个以上的第二期间P2分别输出合成高频电功率SHF。一个以上的第二期间P2是第一期间P1之后的期间。一个以上的第二期间P2也可以与第一期间P1依次连续。一个以上的第二期间P2各自的时间长度能够与第一期间P1的时间长度相同。第一期间P1和一个以上的第二期间P2也可以为按规定的频率设定的连续的多个周期分别相同的期间。
高频电源部61交替地反复进行第一期间P1的脉冲状的高频电功率PHF的输出和一个以上的第二期间P2的合成高频电功率SHF的输出。另外,在图3所示的例子中,在第一期间P1之后相继有四个第二期间P2,不过第一期间P1之后的第二期间的数量并不限定。
高频电源部61使用修正信号CS生成合成高频电功率SHF。修正信号CS由修正信号生成部70生成。修正信号生成部70根据第一期间P1的反射波监测信号MS来生成修正信号CS。反射波监测信号MS通过定向耦合器65输出。修正信号CS是相对于反射波监测信号MS以反相振荡的信号。
在一个实施方式中,修正信号生成部70具有A/D转换器70a、运算器70b和D/A转换器70c。A/D转换器70a对反射波监测信号MS执行A/D转换,生成数字信号。由A/D转换器70a生成的数字信号被作为反射波监测数字信号输给运算器70b。运算器70b例如是处理器。运算器70b生成反射波监测数字信号的反相信号RS。如图4所示,反相信号RS是相对于反射波监测信号MS以反相振荡的信号,反相信号RS仅通过对反射波监测信号MS的180°相转化处理而生成。
在一个实施方式中,修正信号CS也可以为通过反相信号RS的D/A转换而生成的模拟信号。即,运算器70b也可以将反相信号RS作为修正数字信号输出。在另一个实施方式中,修正信号生成部70也可以通过修正反相信号RS而生成修正信号。具体而言,运算器70b对反相信号RS的振幅进行修正以消除放大器61c的放大率对输入信号的振幅的依赖性(非线性的依赖性),由此生成修正数字信号。
由运算器70b生成的修正数字信号被输给D/A转换器70c。D/A转换器70c对所输入的修正数字信号执行D/A转换,生成修正信号CS(模拟信号)。由D/A转换器70c生成的修正信号CS被输给高频电源部61。
修正信号生成部70在第一期间P1不将修正信号CS输给高频电源部61。另一方面,修正信号生成部70在第一期间P1之后的一个以上的第二期间P2分别将修正信号CS输给高频电源部61。
在一个实施方式中,高频电源部61具有高频信号发生器61a、加法器61b和放大器61c。高频信号发生器61a产生脉冲状的高频信号PS。高频信号发生器61a例如是函数信号发生器。由高频信号发生器61a产生的脉冲状的高频信号PS的基本频率与高频电功率HF的基本频率相同。
高频信号发生器61a在第一期间P1和一个以上的第二期间P2分别输出脉冲状的高频信号PS。在第一期间P1和一个以上的第二期间P2,高频信号发生器61a开始进行脉冲状的高频信号PS的输出的定时分别由控制部80向高频信号发生器61a指定。此外,在第一期间P1和一个以上的第二期间P2,高频信号发生器61a输出脉冲状的高频信号PS的时间长度分别由控制部80向高频信号发生器61a指定。
加法器61b从高频信号发生器61a接收脉冲状的高频信号PS。此外,加法器61b从修正信号生成部70接收修正信号CS。加法器61b对脉冲状的高频信号PS加上修正信号CS,生成合成高频信号AS。在没有输入修正信号CS的情况下,加法器61b输出脉冲状的高频信号PS。放大器61c通过将脉冲状的高频信号PS放大而生成脉冲状的高频电功率PHF。此外,放大器61c通过将合成高频信号AS放大而生成合成高频电功率SHF。
在一个实施方式中,高频电源部62具有高频信号发生器62a和放大器62c。高频信号发生器62a产生高频信号。高频信号发生器62a例如是函数信号发生器。由高频信号发生器62a产生的高频信号的频率与高频电功率LF的频率相同。放大器62c将来自高频信号发生器62a的高频信号放大,生成高频电功率LF。高频信号发生器62a也可以连续地产生高频信号。即,高频电源部62也可以连续地输出高频电功率LF。或者,高频信号发生器62a也可以产生脉冲状的高频信号。即,高频电源部62也可以输出脉冲状的高频电功率LF。
在一个实施方式中如图3所示,第一期间P1和一个以上的第二期间P2与高频电功率LF的连续的多个周期分别相同。即,脉冲状的高频电功率PHF和合成高频电功率SHF的各自的输出在高频电功率LF的对应的周期内进行。因此,在一个实施方式中,等离子体处理装置1能够进一步包括同步信号发生器76。
同步信号发生器76产生同步信号SS,输出同步信号SS。同步信号SS例如在高频电功率LF的各周期的开始时刻包含同步脉冲。高频电源部62以各周期与从同步信号发生器76施加的同步信号SS(其同步脉冲)同步的方式输出高频电功率LF。
同步信号还施加给修正信号生成部70和高频电源部61。修正信号生成部70在各第二期间P2,在以同步信号SS的同步脉冲为基准从控制部80施加的延迟时间所规定的定时,向高频电源部61输出修正信号CS。高频电源部61的高频信号发生器61a在各第一期间P1和第二期间P2,在分别以同步信号SS的同步脉冲为基准从控制部80施加的延迟时间所规定的定时,开始高频信号PS的输出。
根据以上说明的等离子体处理装置1,在一个以上的第二期间P2分别输出合成高频电功率SHF。合成高频电功率SHF中包含的修正高频电功率使用相对于反射波监测信号MS以反相振荡的修正信号CS生成。因此,根据等离子体处理装置1,在一个以上的第二期间P2,反射波分别被降低。此外,通过在第一期间P1输出脉冲状的高频电功率PHF而生成修正信号CS之后,在一个以上的第二期间分别使用修正信号CS生成合成高频电功率SHF。第一期间P1和一个以上的第二期间P2交替地反复。因此,等离子体处理装置1能够适时地更新适合于反射波的降低的合成高频电功率SHF。
在一个实施方式中如上所述,生成修正信号CS以预先消除放大器61c的放大率的振幅依赖性(非线性的依赖性)。根据该实施方式,能够进一步降低反射波。另外,修正信号CS能够用于在为了消除对脉冲状的高频电功率PHF的反射波而调节后的延迟时间,生成合成高频电功率SHF。一个实施方式,修正信号CS能够在为了消除对脉冲状的高频电功率PHF的反射波而调节后的延迟时间,加算至脉冲状的高频信号PS。
以下,参照图5,对一个例示的实施方式的生成等离子体的方法进行说明。图5是表示一个例示的实施方式的生成等离子体的方法的流程图。在以下的说明中,以使用等离子体处理装置1的情况为例,说明方法MT。不过,方法MT也可以使用能够执行其多个步骤的其它等离子体处理装置来执行。
方法MT在步骤ST1开始。在步骤ST1,为了在腔室10内从气体生成等离子体,从高频电源部61输出脉冲状的高频电功率PHF。脉冲状的高频电功率PHF在第一期间P1输出。
在步骤ST2,由修正信号生成部70生成修正信号CS。修正信号CS是相对于反射波监测信号MS以反相振荡的信号。反射波监测信号MS是表示对脉冲状的高频电功率PHF的反射波的信号。反射波监测信号MS由定向耦合器65施加修正信号生成部70。
步骤ST3包含步骤ST3a和步骤ST3b。在步骤ST3a,为了在腔室10内从气体生成等离子体,输出合成高频电功率SHF。合成高频电功率SHF在第二期间P2输出。合成高频电功率SHF是脉冲状的高频电功率PHF与修正高频电功率的合成电功率。修正高频电功率是相对于反射波以反相振荡的电功率。合成高频电功率SHF使用修正信号CS生成。
在步骤ST3b,判断是否满足停止条件。在步骤ST3b,在步骤ST3a的执行次数达到规定次数的情况下判断为满足停止条件。当在步骤ST3b判断为不满足停止条件时,再次执行步骤ST3a。另一方面,在步骤ST3b判断为满足停止条件时,执行步骤ST4。另外,在规定次数为1次的情况下,不需要进行步骤ST3b。
在步骤ST4,判断是否满足另一停止条件。在步骤ST4,在包含步骤ST1、步骤ST2和步骤ST3的一个序列的执行次数达到规定次数的情况下判断为满足该另一停止条件。该规定次数为多次。当在步骤ST4判断为不满足该另一停止条件时,再次执行上述一个序列。另一方面,当在步骤ST4判断为满足该另一停止条件时,结束方法MT。
在方法MT中,通过执行步骤ST1而在第一期间P1输出脉冲状的高频电功率PHF。通过执行步骤ST3,在第一期间P1之后的一个以上的第二期间P2分别输出合成高频电功率SHF。如图3所示,步骤ST1和步骤ST3以交替地反复进行第一期间P1的脉冲状的高频电功率的输出和一个以上的第二期间P2的合成高频电功率的输出的方式被执行。
在方法MT中,也可以供给高频电功率LF。如上所述,第一期间P1和一个以上的第二期间P2也可以分别与高频电功率LF的对应的周期同步。
以上,对各种例示的实施方式进行了说明,不过并不限定于上述的例示的实施方式,也可以进行各种各样的省略、替换和变更。此外,能够对实施方式的要素进行组合而形成其它实施方式。
例如,在另一实施方式中,等离子体处理装置也可以仅具有与高频电源部61同样输出地脉冲状的高频电功率的单一的高频电源部。由单一的高频电源部输出的高频电功率的基本频率只要能够生成等离子体,为任意的频率均可。
在又一实施方式中,等离子体处理装置也可以为电感耦合型的等离子体处理装置。在电感耦合型的等离子体处理装置中,高频电源部61也可以与天线电连接。天线为了生成等离子体而在腔室内形成磁场。天线能够设置在腔室的顶部上。或者,在电感耦合型的等离子体处理装置中,高频电源部61和高频电源部62连接下部电极,另一高频电源连接天线。
又一实施方式的等离子体处理装置也可以是为了生成等离子体而使用微波的等离子体处理装置。微波能够从设置在腔室的顶部上的天线导入。在该类型的等离子体处理装置中,高频电源部61和高频电源部62连接下部电极。
此外,在等离子体处理装置1中,如上所述,通过利用放大器61c将合成高频信号AS放大而生成合成高频电功率SHF。在另一实施方式中,也可以通过利用另一放大器将修正信号CS放大而生成修正高频电功率。在这种情况下通过在放大器61c的后级对脉冲状的高频电功率PHF加上修正高频电功率而生成合成高频电功率SHF。
根据以上的说明,本发明的各种实施方式仅是说明的目的在本说明书中进行了说明,应当理解为能够不脱离本发明的范围和主旨地进行各种变更。因此,本说明书中公开的各种实施方式并不用于进行限定,本发明的范围和主旨由所附的权利要求的范围表示。
附图标记的说明
1…等离子体处理装置,10…腔室,61…高频电源部,70…修正信号生成部,P1…第一期间,P2…第二期间,PHF…脉冲状的高频电功率,SHF…合成高频电功率,CS…修正信号,MS…反射波监测信号。

Claims (6)

1.一种等离子体处理装置,其特征在于,包括:
腔室;
高频电源部,其为了在所述腔室内从气体生成等离子体而输出脉冲状的高频电功率或者合成高频电功率,该合成高频电功率是该脉冲状的高频电功率与修正高频电功率的合成电功率,所述修正高频电功率是相对于对该脉冲状的高频电功率的反射波以反相振荡的电功率;和
修正信号生成部,其生成相对于反射波监测信号以反相振荡的修正信号,所述反射波监测信号是表示对所述脉冲状的高频电功率的所述反射波的信号,
所述高频电源部在第一期间输出所述脉冲状的高频电功率,
所述修正信号生成部根据所述第一期间的所述反射波监测信号来生成所述修正信号,
所述高频电源部在所述第一期间之后的一个以上的第二期间分别输出使用所述修正信号生成的所述合成高频电功率,交替地反复进行所述第一期间的所述脉冲状的高频电功率的输出和所述一个以上的第二期间的所述合成高频电功率的输出。
2.如权利要求1所述的等离子体处理装置,其特征在于:
还包括定向耦合器,其设置在输送从所述高频电源部输出的所述脉冲状的高频电功率和所述合成高频电功率的电路径上,
所述修正信号生成部根据由所述定向耦合器输出的所述反射波监测信号来生成所述修正信号,
所述高频电源部包括:
产生脉冲状的高频信号的高频信号发生器;
加法器,其对所述脉冲状的高频信号加上所述修正信号,来生成合成高频信号;和
放大器,其将所述脉冲状的高频信号放大来生成所述脉冲状的高频电功率,并将所述合成高频信号放大来生成所述合成高频电功率,
在所述第一期间,所述修正信号没有被加到所述脉冲状的高频信号上。
3.如权利要求2所述的等离子体处理装置,其特征在于:
所述修正信号生成部生成所述反射波监测信号的反相信号,并对所述反相信号进行修正以消除所述放大器的放大率对输入信号的振幅的依赖性,由此生成所述修正信号。
4.如权利要求1~3中任一项所述的等离子体处理装置,其特征在于:
所述第一期间和所述一个以上的第二期间是与由规定的频率确定的连续的多个周期分别相同的期间。
5.如权利要求1~3中任一项所述的等离子体处理装置,其特征在于:
该等离子体处理装置包括作为第一高频电源部的所述高频电源部,并且还包括:
支承台,其具有下部电极,在所述腔室中支承基片;
第二高频电源部,其与所述支承台电连接,输出另一高频电功率,该另一高频电功率的频率低于所述脉冲状的高频电功率的频率;和
产生与所述另一高频电功率同步的同步信号的同步信号发生器,
所述第一期间和所述一个以上的第二期间与所述另一高频电功率的连续的多个周期分别相同,
所述第一高频电源部依照所述同步信号在所述第一期间生成所述脉冲状的高频电功率,并依照所述同步信号在所述一个以上的第二期间分别生成所述合成高频电功率。
6.一种在等离子体处理装置的腔室内生成等离子体的方法,该方法的特征在于,包括:
为了在所述腔室内从气体生成等离子体,在第一期间输出脉冲状的高频电功率的步骤;
生成相对于反射波监测信号以反相振荡的修正信号的步骤,其中所述反射波监测信号是表示对所述脉冲状的高频电功率的所述反射波的信号;和
为了在所述腔室内从气体生成等离子体,在所述第一期间之后的一个以上的第二期间分别输出合成高频电功率的步骤,其中,该合成高频电功率是该脉冲状的高频电功率与相对于对该脉冲状的高频电功率的反射波以反相振荡的修正高频电功率的合成电功率,且是使用所述修正信号生成的,
输出脉冲状的高频电功率的所述步骤和输出合成高频电功率的所述步骤,以交替地反复进行所述第一期间的所述脉冲状的高频电功率的输出和所述一个以上的第二期间的所述合成高频电功率的输出的方式被执行。
CN201980004388.3A 2018-06-22 2019-06-10 等离子体处理装置和生成等离子体的方法 Active CN111052874B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2018119084A JP6842443B2 (ja) 2018-06-22 2018-06-22 プラズマ処理装置及びプラズマを生成する方法
JP2018-119084 2018-06-22
PCT/JP2019/022954 WO2019244698A1 (ja) 2018-06-22 2019-06-10 プラズマ処理装置及びプラズマを生成する方法

Publications (2)

Publication Number Publication Date
CN111052874A CN111052874A (zh) 2020-04-21
CN111052874B true CN111052874B (zh) 2023-02-28

Family

ID=68983385

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980004388.3A Active CN111052874B (zh) 2018-06-22 2019-06-10 等离子体处理装置和生成等离子体的方法

Country Status (6)

Country Link
US (1) US10978274B2 (zh)
JP (1) JP6842443B2 (zh)
KR (1) KR20210021441A (zh)
CN (1) CN111052874B (zh)
TW (1) TWI812738B (zh)
WO (1) WO2019244698A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR102593142B1 (ko) * 2020-05-19 2023-10-25 세메스 주식회사 기판 처리 장치 및 그의 페라이트 코어 온도 제어 방법
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US12046450B2 (en) 2020-09-29 2024-07-23 Lam Research Corporation Synchronization of RF generators
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) * 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11990319B2 (en) 2022-01-05 2024-05-21 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000049000A (ja) * 1998-07-31 2000-02-18 Kem Kk 周波数整合器
JP3027572B1 (ja) * 1998-12-24 2000-04-04 日本高周波株式会社 プラズマ処理用インピーダンス測定装置
WO2005015964A1 (ja) * 2003-08-07 2005-02-17 Hitachi Kokusai Electric Inc. 基板処理装置及び基板処理方法
JP2005151400A (ja) * 2003-11-19 2005-06-09 Toshiba Corp 電力増幅器
JP2007134849A (ja) * 2005-11-09 2007-05-31 Nagano Japan Radio Co 増幅装置
JP2009206346A (ja) * 2008-02-28 2009-09-10 Hitachi High-Technologies Corp プラズマ処理装置
JP2012174668A (ja) * 2011-02-24 2012-09-10 Mitsubishi Electric Corp 高周波電力供給装置、プラズマ処理装置、及び半導体薄膜の製造方法
CN102687597A (zh) * 2009-12-10 2012-09-19 株式会社新川 等离子体点火装置,等离子体点火方法及等离子体发生装置
WO2017062083A1 (en) * 2015-10-05 2017-04-13 Applied Materials, Inc. Rf power delivery regulation for processing substrates
JP2017228558A (ja) * 2016-06-20 2017-12-28 東京エレクトロン株式会社 プラズマ処理装置、及び波形補正方法
WO2018038398A1 (ko) * 2016-08-25 2018-03-01 한국전기연구원 펄스 전원 보상 장치 및 이를 포함하는 고전압 펄스 전원 시스템.
WO2018061617A1 (ja) * 2016-09-28 2018-04-05 株式会社日立国際電気 高周波電源装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS629705A (ja) 1985-07-05 1987-01-17 Kawasaki Steel Corp 金属材料の加工熱処理装置
JPH10241895A (ja) 1996-11-04 1998-09-11 Applied Materials Inc プラズマシース発生高調波をフィルタリングすることによるプラズマプロセス効率の改善
JP3629705B2 (ja) 1997-06-06 2005-03-16 東京エレクトロン株式会社 プラズマ処理装置
JP4879548B2 (ja) * 2005-09-30 2012-02-22 株式会社ダイヘン 高周波電源装置
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP5484375B2 (ja) * 2011-02-17 2014-05-07 三菱電機株式会社 プラズマ成膜装置及びプラズマ成膜方法
JP5867701B2 (ja) * 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US9462672B2 (en) * 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9171700B2 (en) * 2012-06-15 2015-10-27 COMET Technologies USA, Inc. Plasma pulse tracking system and method
JP6202644B2 (ja) * 2013-08-26 2017-09-27 株式会社日立国際電気 プラズマ生成用電源装置
JP6512962B2 (ja) * 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
KR101677748B1 (ko) * 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
CN105826154B (zh) 2015-01-06 2017-12-19 北京北方华创微电子装备有限公司 针对脉冲射频电源的阻抗匹配方法及装置
JP6396822B2 (ja) * 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US10546724B2 (en) * 2017-05-10 2020-01-28 Mks Instruments, Inc. Pulsed, bidirectional radio frequency source/load

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000049000A (ja) * 1998-07-31 2000-02-18 Kem Kk 周波数整合器
JP3027572B1 (ja) * 1998-12-24 2000-04-04 日本高周波株式会社 プラズマ処理用インピーダンス測定装置
WO2005015964A1 (ja) * 2003-08-07 2005-02-17 Hitachi Kokusai Electric Inc. 基板処理装置及び基板処理方法
JP2005151400A (ja) * 2003-11-19 2005-06-09 Toshiba Corp 電力増幅器
JP2007134849A (ja) * 2005-11-09 2007-05-31 Nagano Japan Radio Co 増幅装置
JP2009206346A (ja) * 2008-02-28 2009-09-10 Hitachi High-Technologies Corp プラズマ処理装置
CN102687597A (zh) * 2009-12-10 2012-09-19 株式会社新川 等离子体点火装置,等离子体点火方法及等离子体发生装置
JP2012174668A (ja) * 2011-02-24 2012-09-10 Mitsubishi Electric Corp 高周波電力供給装置、プラズマ処理装置、及び半導体薄膜の製造方法
WO2017062083A1 (en) * 2015-10-05 2017-04-13 Applied Materials, Inc. Rf power delivery regulation for processing substrates
JP2017228558A (ja) * 2016-06-20 2017-12-28 東京エレクトロン株式会社 プラズマ処理装置、及び波形補正方法
WO2018038398A1 (ko) * 2016-08-25 2018-03-01 한국전기연구원 펄스 전원 보상 장치 및 이를 포함하는 고전압 펄스 전원 시스템.
WO2018061617A1 (ja) * 2016-09-28 2018-04-05 株式会社日立国際電気 高周波電源装置

Also Published As

Publication number Publication date
CN111052874A (zh) 2020-04-21
JP2019220435A (ja) 2019-12-26
US10978274B2 (en) 2021-04-13
JP6842443B2 (ja) 2021-03-17
KR20210021441A (ko) 2021-02-26
WO2019244698A1 (ja) 2019-12-26
US20200286714A1 (en) 2020-09-10
TW202002727A (zh) 2020-01-01
TWI812738B (zh) 2023-08-21

Similar Documents

Publication Publication Date Title
CN111052874B (zh) 等离子体处理装置和生成等离子体的方法
CN107221493B (zh) 等离子体处理方法
KR102265228B1 (ko) 플라즈마 처리 장치
JP7345382B2 (ja) プラズマ処理装置及び制御方法
CN111524782A (zh) 等离子体处理装置
JP5718124B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP7374362B2 (ja) プラズマ処理方法及びプラズマ処理装置
CN111524781B (zh) 高频电源及等离子体处理装置
US11282701B2 (en) Plasma processing method and plasma processing apparatus
CN113078040A (zh) 等离子体处理装置及等离子体处理方法
JP6180890B2 (ja) プラズマ処理方法
CN112103165B (zh) 等离子体处理方法及等离子体处理装置
US20210257187A1 (en) Plasma processing apparatus and matching method
CN112530799A (zh) 蚀刻氧化硅膜的方法及等离子体处理装置
JP6960421B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI850271B (zh) 蝕刻方法及電漿處理裝置
TW202036712A (zh) 蝕刻方法及電漿處理裝置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant