CN110504162B - 掩模材料的区域选择性沉积 - Google Patents

掩模材料的区域选择性沉积 Download PDF

Info

Publication number
CN110504162B
CN110504162B CN201910409763.4A CN201910409763A CN110504162B CN 110504162 B CN110504162 B CN 110504162B CN 201910409763 A CN201910409763 A CN 201910409763A CN 110504162 B CN110504162 B CN 110504162B
Authority
CN
China
Prior art keywords
oxygen
dielectric material
semiconductor
gate
top surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910409763.4A
Other languages
English (en)
Other versions
CN110504162A (zh
Inventor
曾文德
桑切兹 E·阿尔塔米拉诺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of CN110504162A publication Critical patent/CN110504162A/zh
Application granted granted Critical
Publication of CN110504162B publication Critical patent/CN110504162B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

在第一方面中,本发明涉及在半导体结构中形成腔(800)的方法,所述方法包括以下步骤:a.提供一种半导体结构,所述半导体结构包括:i.半导体基材(100),ii.在半导体基材(100)上的一组线结构(300),各线结构(300)具有顶表面和侧壁,所述线结构(300)通过其间的沟槽(400)隔开,以及iii.含氧介电材料(410),其至少部分填充了线结构(300)之间的沟槽(400),其中,至少一个线结构(300)的顶表面至少部分暴露,并且其中,顶表面的暴露部分包含无氧介电材料(320,330);b.使TaSix层(700)相对于含氧介电材料(410)选择性形成于无氧介电材料(320,330)上;c.通过相对于TaSix(700)选择性去除至少部分含氧介电材料(410)来形成腔(800)。

Description

掩模材料的区域选择性沉积
技术领域
本发明涉及在半导体结构中形成腔,特别是涉及基于掩模材料的区域选择性沉积形成该腔。
发明背景
在半导体器件[例如,场效应晶体管(FET)]的制造中,经常需要形成腔,所述腔相对较窄,但是具有相对高的深度/宽度纵横比。随着这些半导体器件尺寸缩小而继续发展,形成该腔的要求越来越高。实际上,尺寸缩小通常不仅需要使腔变窄,而且腔周围的元件的尺寸通常也必须减小。
例如,在制造FET时源极/漏极接触部的全自对准接触部图案中,需要通过使用更小的间隔来使栅极间距的尺寸减小。然而在栅极结构之间的间隔获得足够的纵横比而不会过度蚀刻到栅极塞或侧壁间隔中就变成非要克服的大问题了。
US6573602B2公开了一种形成自对准接触的方法。为了形成自对准接触的腔,所述方法使用六个不同的绝缘层,其中两个是用作蚀刻阻挡物的氮化物层。可以认为两个氮化物绝缘层共同形成了双间隔。然而,该多个绝缘层导致相对较厚的间隔区,因此,妨碍了紧密的栅极间隔。
因此,本领域仍然需要解决上述问题中的一些或所有上述问题的方法。
发明内容
本发明的一个目的是提供用于在半导体结构中形成腔的良好方法以及相关中间体。该目的通过本发明所述的方法和中间体结构来实现。
本发明实施方式的一个优点在于在制造腔时可以实现高蚀刻选择性。
本发明实施方式的一个优点在于可以制造具有高纵横比的腔(例如,与腔的宽度相比相对较深的腔。)
本发明实施方式的一个优点在于可以制造腔,同时使对结构周围元件(例如,限定腔的侧壁)的破坏最小化。
本发明实施方式的一个优点在于腔的形成可以集成到不同类型半导体结构(包括半导体器件,例如,晶体管)的制造过程中。
本发明实施方式的一个优点在于可以在尺寸显著减小的半导体结构中完成腔的形成,例如,对应于7nm技术节点、5nm技术节点或者甚至更低的那些半导体结构。本发明实施方式的另一优点在于它们与半导体结构中不同元件(例如栅极结构)之间的紧密间距兼容。
本发明实施方式的优点在于可以以相对简单且经济的方式形成腔。
本发明实施方式的一个优点在于所述方法中的中间步骤可以同时使得能够形成非金属硬掩模。本发明实施方式的另一优点在于非金属硬掩模避免了在半导体结构中引入金属污染物。
在第一方面中,本发明涉及在半导体结构中形成腔的方法,所述方法包括以下步骤:
a.提供一种半导体结构,所述半导体结构包括:
i.半导体基材,
ii.在半导体基材上的一组线结构,各线结构具有顶表面和侧壁,所述线结构通过其间的沟槽隔开,以及
iii.含氧介电材料,其至少部分填充线结构之间的沟槽,
其中,至少一个线结构的顶表面至少部分暴露,并且
其中,顶表面的暴露部分包含无氧介电材料;
b.使TaSix层相对于含氧介电材料选择性形成于无氧介电材料上;
c.通过相对于TaSix选择性去除至少部分含氧介电材料来形成腔。
在第二方面中,本发明涉及用于在半导体结构中形成腔的中间结构,所述中间结构包括:
i.半导体基材,
ii.在半导体基材上的一组线结构,各线结构包括顶表面,所述线结构通过其间的沟槽隔开,其中,至少一个线结构的顶表面包含无氧介电材料;
i.含氧介电材料,其至少部分填充线结构之间的沟槽,以及
ii.TaSix层,其相对于含氧介电材料选择性覆盖无氧介电材料。
本发明特定和优选的方面在所附独立和从属权利要求中阐述。可以将从属权利要求中的特征与独立权利要求中的特征以及其它从属权利要求中的特征进行适当组合,而并不仅限于权利要求书中明确所述的情况。
虽然本领域中一直存在对装置的改进、改变和发展,但本发明的概念被认为代表了充分新和新颖的改进,包括改变现有实践,导致提供了该性质的更有效、更稳定和更可靠的装置。
本发明的上述和其它特性、特征和优点会在下文具体实施方式中结合附图变得显而易见,其通过实例说明本发明的原理。本说明书仅为了举例,而不是限制本发明的范围。下文引用的参考图是指附图。
附图说明
图1至图7是在根据本发明一实施方式的使腔形成并随后进行填充的方法的不同步骤下,半导体结构的垂直截面示意图。
在不同的图中,相同的附图标记表示相同或类似的元件。
具体实施方式
将就具体实施方式并参照某些附图对本发明进行描述,但本发明并不受此限制,仅由权利要求书限定。描述的附图仅是说明性的且是非限制性的。在附图中,一些元件的尺寸可能被夸大且未按比例尺绘画以用于说明目的。所述尺寸和相对尺寸不与本发明实践的实际减小相对应。
此外,在说明书和权利要求书中的术语第一、第二等用来区别类似的元件,而不一定是用来描述时间、空间、等级顺序或任何其它方式的顺序。应理解,如此使用的术语在合适情况下可互换使用,本发明所述的实施方式能够按照本文所述或说明的顺序以外的其它顺序进行操作。
此外,在说明书和权利要求书中,术语顶部、之上等用于描述目的,而不一定用于描述相对位置。应理解,如此使用的术语在合适情况下可与它们的反义词互换使用,本发明所述的实施方式能够按照本文所述或说明的取向以外的其它取向进行操作。
应注意,权利要求中使用的术语“包含”不应解释为被限制为其后列出的部分,其不排除其它元件或步骤。因此,其应被理解为说明所述特征、整数、步骤或组分的存在,但这并不排除一种或多种其它特征、整数、步骤或组分或其组合的存在或添加。因此,表述“包含部件A和B的装置”的范围不应被限制为所述装置仅由组件A和B构成。其表示对于本发明,所述装置的相关组件仅为A和B。
应注意,权利要求中使用的术语“耦合”不应解释为仅限于直接连接。可以使用术语“耦合”和“连接”以及它们的衍生词。应该理解,这些术语不作为彼此的同义词。因此,表述“耦合到器件B的器件A”的范围不应当限于其中器件A的输出端直接连接到器件B的输入端的器件或系统。这意味着在A的输出端和B的输入端之间存在路径,该路径可以是包括其他器件或装置的路径。“耦合”可以意味着两个或更多个元件或者进行直接物理接触或者进行电接触,或者两个或者更多个元件彼此不直接接触,而是仍然彼此协作或相互作用。
说明书中提及的“一个实施方式”或“一种实施方式”是指连同实施方式描述的具体特征、结构或特性包括在本发明的至少一个实施方式中。因此,在说明书中各处出现的短语“在一个实施方式中”或“在一种实施方式中”不一定全部指同一个实施方式,但可能全部都指同一个实施方式。此外,具体特征、结构或特性可以任何合适方式在一个或多个实施方式中组合,这对于本领域普通技术人员而言是显而易见的。
类似地,应理解,在本发明的示例性实施方式的描述中,本发明的不同特征有时在单一实施方式、附图或其说明中集合在一起,这是为了简化公开内容并帮助理解本发明的一个或多个不同方面。然而,本公开内容中的方法不应被理解为反映一项发明,请求保护的本发明需要比各权利要求中明确引用的具有更多的特征。并且,如同所附权利要求所反映的那样,发明方面包括的特征可能会少于前述公开的一个单一实施方式的全部特征。因此,具体说明之后的权利要求将被明确地纳入该具体说明,并且各权利要求本身基于本发明独立的实施方式。
此外,当本文所述的一些实施方式包括一些但不包括其它实施方式中所包括的其它特征时,不同实施方式的特征的组合应意在包括在本发明范围内,并且形成不同的实施方式,这应被本领域技术人员所理解。例如,在之后的权利要求中,所请求保护的任何实施方式可以任何组合形式使用。
此外,本文中描述了某些实施方式作为通过计算机系统的处理器或通过实施功能的其它方式实施的方法、或方法元素的组合。因此,具有用于实施该方法或方法元素所需指令的处理器形成了用于进行该方法或方法元素的装置。此外,本文中描述的设备实施方式的元件是用于执行功能的装置的示例,所述功能通过用于实施本发明目的元件进行。
本文的描述中阐述了众多的具体细节。然而应理解,本发明的实施方式可不用这些具体细节进行实施。在其它情况中,为了不混淆对该说明书的理解,没有详细描述众所周知的方法、步骤和技术。
参考晶体管。这些晶体管是具有第一主电极(例如漏极)、第二主电极(例如源极)以及用于控制在第一主电极和第二主电极之间电荷流动的控制电极(例如栅极)的装置。
对于本领域技术人员来说显而易见的是,本发明还适用于可以以任何晶体管技术进行构造的类似器件,包括但不限于:例如,CMOS、BICMOS、双极和SiGe BICMOS技术。
提供以下术语,仅仅是为了有助于理解本发明。
如本文所用,除非另有说明,否则,当据称第一材料相对于第二材料被选择性去除或蚀刻时,这意味着第一材料比第二材料被去除或蚀刻得更快。优选地,去除或蚀刻工艺对第一材料的去除或蚀刻将比对第二材料的蚀刻快至少两倍,或者优选快至少五倍,更优选快至少十倍。在一些优选实施方式中,第二材料可以基本上不被去除或蚀刻工艺去除或蚀刻。
如本文所用,且除非另有说明,化学式中的下标“x”和“y”表示对应的整数或非整数下标数字,并且所述下标数字从一个化学式到另一个化学式独立地进行选择。例如,当提及式‘TaSix’和‘SixNy’时,应理解,‘x’值不是必须相等;虽然其在一些实施方式中可以相等。
如本文所用,且除非另外说明,“源极/漏极”应理解为“源极和/或漏极”。类似地,“源极/漏极实体”(例如,源极/漏极区)应理解为“源极实体和/或漏极实体”,例如,源极区和/或漏极区。在一些实施方式中,源极和漏极可以是等同的(例如,不可区分的),并且其名称可能依赖于在最终半导体器件中横跨其的相对电压差。
如本文所用,且除非另有说明,术语无氧介电材料是指化学式不含氧的介电材料,即,其不是氧化物(例如,其可以是氮化物)。术语“无氧”用于表示在材料中基本不存在氧。
如本文所用,且除非另有说明,术语含氧介电材料是指化学式含有氧的介电材料,即,其是氧化物。
在第一方面中,本发明涉及在半导体结构中形成腔的方法,所述方法包括以下步骤:
a.提供一种半导体结构,所述半导体结构包括:
i.半导体基材,
ii.在半导体基材上的一组线结构,各线结构具有顶表面和侧壁,所述线结构通过其间的沟槽隔开,以及
iii.含氧介电材料,其至少部分填充线结构之间的沟槽,
其中,至少一个线结构的顶表面至少部分暴露,并且
其中,顶表面的暴露部分包含无氧介电材料;
b.使TaSix层相对于含氧介电材料选择性形成于无氧介电材料上;
c.通过相对于TaSix选择性去除至少部分含氧介电材料来形成腔。
半导体结构通常可以成为半导体器件。半导体结构可以是例如用于形成含有一个或多个晶体管的半导体电路的结构,例如,用于形成互补金属氧化物半导体(CMOS)结构。例如,晶体管可以是鳍型场效应晶体管(FinFET)。
在一些实施方式中,基材可以包括至少一个半导体有源区域(semiconductoractive area)。在一些实施方式中,半导体有源区域可以包括通道区和/或源极区和/或漏极区。在一些实施方式中,半导体有源区域可以包括选自下组的一种或多种材料:Si、SiGe和Ge;例如,通道区和/或源极区和/或漏极区可以包含选自Si、SiGe和Ge的材料。在一些实施方式中,源极区和漏极区可以限定其间的通道区。在一些实施方式中,半导体有源区域可以包括鳍片结构。在一些实施方式中,鳍片结构可以包括通道区、源极区和漏极区。在一些实施方式中,鳍片结构可以包含选自下组的一种或多种材料:Si、SiGe和Ge。在一些实施方式中,介电材料可以存在于两个鳍片结构之间。在一些实施方式中,所述介电材料可以是SiO2。介电材料有利于在两个鳍片结构之间提供电隔离。在一些实施方式中,源极/漏极区可以外延生长(例如,在形成于期间结构的腔中),并且可以任选地进行掺杂。在一些实施方式中,源极/漏极区可以包含SiGe:P或SiGe:B。
在一些实施方式中,至少一个线结构可以包括:在通道区上方的栅极结构,所述栅极结构具有顶表面和侧壁;
-对栅极结构的至少一个侧壁进行衬砌的栅极间隔体;以及
-覆盖栅极结构顶表面的栅极盖(例如,栅极塞)。
在一些实施方式中,栅极结构可以包括栅极电介质(通常与半导体有源区域接触)、功函数调整金属(即,功函数调节金属)以及栅极接触部。在一些实施方式中,栅极结构可以限定与其重叠的半导体有源区域中的通道区。在一些实施方式中,栅极结构可以通过替代金属栅极(RMG)工艺形成。在一些实施方式中,栅极电解质可以是高k材料(例如,HfO2)。在一些实施方式中,功函数调整金属可以选自:TiN、TaN、TiSiN、TiAl和TiC。在一些实施方式中,栅极接触部可以包含W(例如,由W组成)。
在一些实施方式中,栅极接触部可以包含无氧介电材料。栅极间隔体可以有利于在本发明方法的步骤和/或制造最终器件(例如,半导体电路)的其它步骤期间为栅极结构提供一定水平的保护(例如,以侧向方向)使其免受损伤(例如,蚀刻)。另外,在最终器件中,间隔可以有利于在一方面的栅极接触部和另一方面的源极/漏极接触部之间提供电隔离。在一些实施方式中,可以使用化学气相沉积(CVD)或原子层沉积(ALD)提供栅极间隔体。在一些实施方式中,栅极间隔体最初(例如,在步骤b之前)可以具有5nm至15nm、优选7nm至10nm的厚度。在一些实施方式中,栅极间隔体最终(例如,在步骤c或d之后)可以具有1nm至10nm、优选3nm至8nm的厚度。例如,在7nm技术节点处的器件最后(即,最终)栅极间隔体厚度可以是约7nm,而相对于其它节点技术(例如,N5),所述厚度可以是约5nm。
在一些实施方式中,栅极盖可以包含无氧介电材料。栅极盖可以有利于在本发明方法的步骤和/或制造最终器件(例如,半导体电路)的其它步骤期间为栅极结构提供一定水平的保护(例如,以自上而下的方向)使其免受损伤(例如,在蚀刻期间)。另外,在最终器件中,栅极盖可以有利于在一方面的栅极接触部和另一方面的金属化覆盖层之间提供电隔离。在一些实施方式中,可以使用化学气相沉积(CVD)或原子层沉积(ALD)提供栅极盖,优选使用CVD来提供栅极盖。在一些实施方式中,栅极盖的高度可以是10nm至100nm、优选30nm至70nm。
在一些实施方式中,可以使用化学气相沉积(CVD)或原子层沉积(ALD)提供栅极间隔体和/或栅极塞。在优选实施方式中,栅极间隔体和栅极盖可以包含无氧介电材料。
在一些实施方式中,含氧介电材料可以是氮化物材料,例如,氮化硅(SixNy)。在一些实施方式中,所述氮化硅可以是Si3N4
在一些实施方式中,含氧介电材料可以是SiO2。在一些实施方式中,含氧介电材料可以是层间电解质(ILD)。
用含氧介电材料至少部分填充线结构之间的沟槽。在一些实施方式中,至少一个沟槽的宽度(平行于基材的最短尺寸)可以是5nm至25nm,优选10nm至20nm,例如约19nm(例如,对于N10节点),14nm(例如,对于N7节点)或11nm(例如,对于N5节点)在一些实施方式中,至少一个沟槽的深度(例如高度;垂直于基材的尺寸)可以是20nm至200nm、优选50nm至100nm。在一些实施方式中,沟槽可以是填充不足的;或者进行填充以使得含氧介电材料的顶表面与线结构的顶表面共面;或者过量填充[例如,可以存在覆盖层(overburden)];只要至少一个线结构的顶表面至少部分地暴露,暴露部分由无氧介电材料构成。在优选实施方式中,沟槽可以填充不足;例如,填充沟槽深度的至少50%、至少70%、更优选至少90%、最优选至少95%,但是小于所述沟槽深度的100%。在一些实施方式中,提供至少部分用含氧介电材料填充的沟槽可以包括:提供用含氧介电材料完全填充(例如,过量填充)并随后部分回蚀含氧介电材料。对含氧介电材料进行部分蚀刻,以使得至少露出线结构的顶表面的暴露部分,并且通常使得沟槽中的一小部分含氧介电材料(例如深度高达沟槽深度5%或高达沟槽深度的10%)被去除。部分填充不足(例如,高达沟槽深度5%或高达沟槽深度10%)的沟槽有利得允许在暴露部分上方(例如,在栅极间隔体上方)形成良好保护的TaSix封盖层。
部分回蚀去除沟槽中的一小部分含氧介电材料有利地允许使对线结构(例如对栅极间隔体和/或栅极盖)的损坏最小化;与较大的回蚀相反,较大的回蚀将导致线结构(例如,栅极间隔体和/或栅极盖)的长时间暴露,并因此有严重损坏所述线结构(例如,打开栅极间隔体和/或栅极盖并损坏其下的栅极结构)的风险。
在一些实施方式中,步骤a可以包括:
a1.提供半导体结构,其中,线结构的顶部由覆盖层(overlayer)覆盖;以及
a2.相对于无氧介电材料选择性去除至少部分覆盖层,由此获得顶表面的暴露部分。
在一些实施方式中,覆盖层可以包含含氧介电材料。在一些实施方式中,覆盖层的厚度可以是5nm至20nm、优选7nm至15nm,例如10nm。覆盖层可以有利地用作软掩膜层,用于设置于其上的硬掩模(参见下文)在一些实施方式中,选择性去除部分覆盖层的步骤a2可以包括:对填充沟槽的含氧介电材料进行部分回蚀(参见上文)。
在本文发明中惊讶地发现了TaSix(例如,TaSi2)可相对于含氧介电材料选择性沉积到无氧介电材料上,并且此外还发现了可以实现含氧介电材料相对于TaSix的极其高蚀刻选择性。因此,设想具有包含无氧电介质材料的表面的结构可以用TaSix进行选择性覆盖,TaSix继而可以用作一种硬掩模层。在这方面,值得注意的是,含氧介电材料的选择性蚀刻通常是非各向同性的工艺,其中,垂直方向(例如,自上而下)的蚀刻速率通常高于水平方向(例如,侧面)蚀刻速率。因此,在自上而下方向上对无氧介电材料进行强化的TaSix层通常对无氧介电材料进行充分强化,以防止不期望的去除。因此,含氧介电材料相对于无氧介电材料的蚀刻选择性可以得到显著改进,由此导致对于无氧介电材料的损伤减少,并且例如因此允许使用较少/较薄的无氧介电材料结构。在一些实施方式中,形成TaSix层可以包括热原子层沉积(ALD)。相对于含氧介电材料,TaSix常常选择性沉积在无氧介电材料上。
在一些实施方式中,在步骤c中相对于TaSix选择性去除至少部分含氧介电材料可以包括等离子体蚀刻。在一些实施方式中,等离子蚀刻可以包括基于氟和/或氧的化学物质。在一些实施方式中,等离子体蚀刻以包括如下分步:使得蚀刻剂(例如,C4F6/O2/Ar气体混合物)与含氧介电材料接触,随后对含氧介电材料进行蚀刻。在一些实施方式中,这些分步可以按循环过程进行重复。步骤c可以称为全自对准接触(fSAC)蚀刻。在一些实施方式中,步骤c的选择性可以是当进入含氧介电材料的蚀刻深度(即,在自上而下方向进行测定)为至少70nm、优选至少80nm时,在线结构中去除最多7nm(即,在相同的自上而下的方向进行测量)的无氧介电材料,优选最多6nm的无氧介电材料。
在一些实施方式中,步骤c可以通过图案化的硬掩模进行。在一些实施方式中,可以在软掩模层(例如,参见上文的覆盖层)上提供图案化的硬掩模。在一些实施方式中,使硬掩模图案化可以基于光刻图案化,并且可以包括使用抗蚀剂层。在一些实施方式中,可以在硬掩模和抗蚀剂层之间设置辅助堆叠体[例如,高级图案化膜(APF)/SiOC或旋涂碳(SoC)/旋涂玻璃(SoG)]。
在优选实施方式中,提供图案化的硬掩模可以包括:在步骤b之前在半导体结构之上提供无氧介电材料的图案化层,在步骤b期间,使得TaSix层沉积到所述图案化层上。优选地,硬掩模的无氧介电材料可以是与线结构中所含无氧介电材料相同的材料。有利地是,使用区域选择性TaSix沉积将无氧电介质材料的图案化层转变成硬掩模是一种提供硬掩模同时避免了使用金属材料(例如TiN)的简单方式。在半导体器件的制造中通常避免使用金属材料,因为这些金属材料可能在这些器件中容易形成污染物。
在一些实施方式中,腔可以使半导体基材暴露(例如,源极和/或漏极区),并且使得至少一个线结构的至少一个侧壁暴露。在优选实施方式中,腔可以使半导体基材和至少一个线结构的至少一个侧壁暴露。在一些实施方式中,腔可以用于形成与源极/漏极区的接触部。在一些实施方式中,该方法可以包括在步骤c之后的另一步骤d:用填充材料来填充腔。在一些实施方式中,填充材料可以包括导电材料(例如,填充金属,如,选自W、Co和Ru的填充金属)和任选地接触屏障(例如,选自Ti和TiN)。在一些实施方式中,接触屏障可以是功函数调整金属。在一些实施方式中,用填充材料来填充腔可以包括:在用填充材料来填充腔之前,在腔中提供接触屏障。在一些实施方式中,填充材料可以形成源极/漏极区接触部。在一些实施方式中,源极/漏极接触部可以是环绕式接触部。在一些实施方式中,源极/漏极接触部可以与源极/漏极区电耦合。在一些实施方式中,源极/漏极接触部可以与源极/漏极区电接触,优选是直接机械接触。
在一些实施方式中,在步骤d中可以对腔进行过量填充,由此形成覆盖物(即,一部分填充材料延伸到腔上方),并且,所述方法可以包括在步骤d之后的另一步骤e:使覆盖物平面化。在优选实施方式中,在步骤e中的平面化期间可以去除TaSix层。在该情况下,优选进行平面化以去除TaSix实体层(例如,至少一个线结构顶部及其侧面上的TaSix实体层)。这通常涉及去除部分线结构(例如,部分栅极塞和/或栅极间隔体)。
在第二方面中,本发明涉及用于在半导体结构中形成腔的中间结构,所述中间结构包括:
i.半导体基材,
ii.在半导体基材上的一组线结构,各线结构包括顶表面,所述线结构通过其间的沟槽隔开,其中,至少一个线结构的顶表面包含无氧介电材料;
iii.含氧介电材料,其至少部分填充线结构之间的沟槽,以及
iv.TaSix层,其相对于含氧介电材料选择性覆盖无氧介电材料。
在一些实施方式中,第二方面的任意特征可以独立地如对应于第一方面的任意实施方式所描述的那样。
现在通过对本发明若干实施方式的详细描述来描述本发明。很明显,可根据本领域技术人员的知识构建本发明的其它实施方式,而不背离本发明的真正技术教示,本发明仅受所附权利要求书的限制。
实施例:在FinFET的形成中形成源极/漏极接触部
现在参见图1。提供半导体结构,所述半导体结构包括半导体基材(100),所述半导体基材包括Si半导体鳍片形式的半导体有源区域(200)。半导体有源区域(200)包括限定在外延生长的源极/漏极区(220/230)之间的通道区(210)。半导体有源区(200)通过SiO2浅沟槽隔离层(240;STI)彼此隔开。半导体结构还包括:在半导体基材(100)上的一组线结构(300),所述线结构(300)包括由SixNy无氧电介质栅极塞(320)封盖的栅极结构(310)、以及对栅极结构(310)和栅极塞(320)进行衬砌的SixNy无氧电介质间隔(330)。任选地,线结构(300)还可以包括覆盖(例如,衬砌)无氧电介质间隔(330)的SiN蚀刻停止衬层(未显示)。栅极结构(310)包括高k HfO2栅极电解质(311)、功函数调整金属(311)和W栅极接触部(312)。功函数调整金属可以选自:例如,TiN、TiN/TaN/TiN和TiN/TiAl/TaN/TiN。栅极结构(310)的纵向反向(平行于基材的最长尺寸)通常垂直于半导体鳍片(210)的纵向方向进行取向。用SiO2含氧介电材料(410)填充线结构(300)之间的沟槽(400),例如在源极/漏极区(220/230)之上的沟槽(400)。
我们现在参见图2。在半导体结构上沉积10nm的SiO2电介质覆盖层(500),并且在覆盖层(500)上提供图案化的SixNy无氧电介质硬掩模(600)。为了使得硬掩模(600)图案化,例如可以使用重叠的SiOC/高级图案化膜(APF)堆叠体或旋涂碳(SoC)/旋涂玻璃(SoG)堆叠体以及抗蚀剂层(未显示)。
现在参见图3。通过相对于无氧介电材料[即,栅极塞(320)、间隔(330)和/或硬掩模(600)]选择性去除含氧介电材料[即,部分覆盖层(500)和/或经填充的沟槽(410)]来进行部分地向下蚀刻,达到使栅极塞(320)和间隔(330)的顶表面暴露的程度。例如,这可以使用基于C4F6/O2/Ar气体混合物的沉积和蚀刻步骤的循环过程,通过等离子体蚀刻来实现。可以使用15mT压力,100w RF 2MHz和100RF 27MHz电源以及110℃的卡盘温度。随后,可以执行湿清洁步骤以去除等离子体蚀刻之后任意剩余的残留物。
我们现在参见图4。使TaSix层(700)相对于含氧介电材料(410)选择性沉积于无氧介电材料(320、330、600)上,由此形成覆盖栅极塞(320)、间隔(330)和/或硬掩模(600)的至少部分顶表面的掩模(700)。
我们现在参见图5。相对于TaSix(700)和无氧介电材料(320、330、600),进一步选择性地去除沟槽(400)中的含氧介电材料(410)。蚀刻使得其具有各向异性性质以及相对于TaSix(700)的极高选择性,使得TaSix材料(700)保护无氧介电材料(320、330、600)免于经受向下蚀刻。以此方式,可以完全去除含氧介电材料(410),而不会过度蚀刻到无氧介电材料(320、330)中。为实现这一点,基于C4F6/O2/Ar气体混合物,可以使用与图3所述相同的等离子体蚀刻。
我们现在参见图6。使用ALD,用Ti和/或TiN屏障层(未显示)衬砌沟槽(400),并且使用CVD或ALD用W接触金属(810)过量填充。
我们现在参见图7。通过化学机械平面化(CMP)来去除接触金属(810)覆盖物,因此还同时去除TaSix材料(700)
在上文所呈现的实施例中,所用硬掩模(600)包含无氧介电材料,所述无氧介电材料通过选择性沉积TaSix通过TaSix材料(700)进行进一步强化。然而,显然硬掩模同样可以由对后续蚀刻技术具有足够抗蚀性的另一材料制成;因此,通过TaSix材料(700)进行强化是可选择的特征。
应理解,虽然本文已对本发明装置的优选实施方式、特定构造和构型以及材料进行了讨论,可对形式和细节进行各种改变或修改,而不背离本发明的范围和技术教导。例如,上面给出的任何方案仅仅代表可以使用的过程。可以从框图中添加或删除功能,并且可以在功能块之间交换操作。可以对所述方法增加或减少步骤而不背离本发明的范围。

Claims (20)

1.一种用于在半导体结构中形成腔的方法,所述方法包括:
a) 提供一种半导体结构,所述半导体结构包括:
i. 半导体基材,
ii. 在半导体基材上的一组线结构,各线结构具有顶表面和侧壁,所述线结构通过其间的沟槽隔开;以及
iii. 含氧介电材料,其至少部分填充了线结构之间的沟槽,
其中,至少一个线结构的顶表面至少部分暴露,并且
其中,顶表面的暴露部分包含无氧介电材料;
b) 使TaSix层相对于含氧介电材料选择性沉积以覆盖无氧介电材料上;
c) 通过相对于TaSix层选择性去除至少部分含氧介电材料来形成腔。
2.如权利要求1所述的方法,其中,所述腔使半导体基材暴露,并且/或者使至少一个线结构的至少一个侧壁暴露。
3.如权利要求1所述的方法,其中,所述含氧介电材料是SiO2
4.如权利要求1所述的方法,其中,所述无氧介电材料是SixNy
5.如权利要求1所述的方法,其中,半导体结构包括至少一个半导体有源区域,所述半导体有源区域包括通道区、源极区和漏极区。
6.根据权利要求5所述的方法,其中,所述至少一个线结构包括:
在通道区上方的栅极结构,所述栅极结构具有顶表面和侧壁;
对栅极结构的至少一个侧壁进行衬砌的栅极间隔体;以及
覆盖栅极结构顶表面的栅极盖。
7.如权利要求6所述的方法,其中,所述栅极间隔体和/或栅极盖包含无氧介电材料。
8.如权利要求5所述的方法,其中,所述腔形成于源极区或漏极区的接触部。
9.如权利要求1所述的方法,其中,步骤a)包括:
提供半导体结构,其中,线结构的顶表面由覆盖层覆盖;以及
相对于无氧介电材料选择性去除至少部分覆盖层,由此获得顶表面的暴露部分。
10.如权利要求9所述的方法,其中,所述覆盖层包含含氧介电材料。
11.如权利要求1所述的方法,其中,通过图案化的硬掩模进行步骤c),并且其中,提供图案化的硬掩模包括:在步骤b)之前在半导体结构之上提供无氧介电材料的图案化层,并且在步骤b)期间,使TaSix层沉积到所述图案化层上。
12.如权利要求1所述的方法,所述方法还包括在步骤c)之后的另一步骤d):用填充材料来填充腔。
13.如权利要求12所述的方法,其中,在步骤d)中对腔进行过量填充,由此形成覆盖物,并且,所述方法包括在步骤d)之后的另一步骤e):使覆盖物平面化。
14.如权利要求13所述的方法,其中,在步骤e)的平面化期间去除TaSix层。
15.通过权利要求1~14中任一项所述的方法形成的半导体结构,所述半导体结构包括:
i) 半导体基材,
ii) 在半导体基材上的一组线结构,各线结构包括顶表面,所述线结构通过其间的沟槽隔开,其中,至少一个线结构的顶表面包含无氧介电材料;
iii) 含氧介电材料,其至少部分填充了线结构之间的沟槽,以及
iv) TaSix层,其相对于含氧介电材料区域选择性覆盖无氧介电材料。
16.如权利要求15所述的半导体结构,其中,所述含氧介电材料是SiO2
17.如权利要求15所述的半导体结构,其中,所述无氧介电材料是SixNy
18.如权利要求15所述的半导体结构,其中,半导体结构包括至少一个半导体有源区域,所述半导体有源区域包括通道区、源极区和漏极区。
19.如权利要求18所述的半导体结构,其中,所述至少一个线结构包括:
在通道区上方的栅极结构,所述栅极结构具有顶表面和侧壁;
对栅极结构的至少一个侧壁进行衬砌的栅极间隔体;以及
覆盖栅极结构顶表面的栅极盖。
20.如权利要求19所述的半导体结构,其中,所述栅极间隔体和/或栅极盖包含无氧介电材料。
CN201910409763.4A 2018-05-17 2019-05-16 掩模材料的区域选择性沉积 Active CN110504162B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP18173024.3 2018-05-17
EP18173024.3A EP3570317A1 (en) 2018-05-17 2018-05-17 Area-selective deposition of a mask material

Publications (2)

Publication Number Publication Date
CN110504162A CN110504162A (zh) 2019-11-26
CN110504162B true CN110504162B (zh) 2022-04-12

Family

ID=62200316

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910409763.4A Active CN110504162B (zh) 2018-05-17 2019-05-16 掩模材料的区域选择性沉积

Country Status (3)

Country Link
US (1) US10784158B2 (zh)
EP (1) EP3570317A1 (zh)
CN (1) CN110504162B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892338B2 (en) 2018-10-24 2021-01-12 Globalfoundries Inc. Scaled gate contact and source/drain cap
US11690216B2 (en) * 2019-12-13 2023-06-27 Micron Technology, Inc. Structure to reduce bending in semiconductor devices
EP3968387A1 (en) * 2020-09-15 2022-03-16 Imec VZW Gate spacer patterning

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4912543A (en) * 1983-07-20 1990-03-27 Siemens Aktiengesellschaft Integrated semiconductor circuit having an external contacting track level consisting of aluminum or of an aluminum alloy
US5160407A (en) * 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
CN106952870A (zh) * 2016-01-07 2017-07-14 台湾积体电路制造股份有限公司 半导体器件结构及其形成方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0388075B1 (en) * 1989-03-16 1996-11-06 STMicroelectronics, Inc. Contacts for semiconductor devices
US6025255A (en) 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6569778B2 (en) 2001-06-28 2003-05-27 Hynix Semiconductor Inc. Method for forming fine pattern in semiconductor device
KR100426811B1 (ko) 2001-07-12 2004-04-08 삼성전자주식회사 셀프얼라인 콘택을 갖는 반도체 소자 및 그의 제조방법
US6716766B2 (en) 2002-08-22 2004-04-06 Micron Technology, Inc. Process variation resistant self aligned contact etch
US7723229B2 (en) 2005-04-22 2010-05-25 Macronix International Co., Ltd. Process of forming a self-aligned contact in a semiconductor device
US7682986B2 (en) 2007-02-05 2010-03-23 Lam Research Corporation Ultra-high aspect ratio dielectric etch
US8487397B2 (en) 2011-04-25 2013-07-16 Nanya Technology Corporation Method for forming self-aligned contact
JP6141855B2 (ja) 2012-09-18 2017-06-07 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9105700B2 (en) 2013-12-12 2015-08-11 Lam Research Corporation Method for forming self-aligned contacts/vias with high corner selectivity
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10840105B2 (en) * 2015-06-15 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with insulating structure and method for manufacturing the same
US9773700B1 (en) * 2016-06-08 2017-09-26 International Business Machines Corporation Aligning conductive vias with trenches
US11508827B2 (en) * 2018-09-26 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer for a gate structure of a transistor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4912543A (en) * 1983-07-20 1990-03-27 Siemens Aktiengesellschaft Integrated semiconductor circuit having an external contacting track level consisting of aluminum or of an aluminum alloy
US5160407A (en) * 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
CN106952870A (zh) * 2016-01-07 2017-07-14 台湾积体电路制造股份有限公司 半导体器件结构及其形成方法

Also Published As

Publication number Publication date
CN110504162A (zh) 2019-11-26
EP3570317A1 (en) 2019-11-20
US20190355619A1 (en) 2019-11-21
US10784158B2 (en) 2020-09-22

Similar Documents

Publication Publication Date Title
US10978350B2 (en) Structure and method for metal gates with roughened barrier layer
US20230377873A1 (en) Cut metal gate process for reducing transistor spacing
TWI638428B (zh) 半導體裝置及其製造方法
TWI582990B (zh) 鰭式場效電晶體之源極/汲極區域及其形成方法
TWI556295B (zh) 金屬閘極及半導體結構之製造方法
CN109904120B (zh) 半导体器件及其制造方法
US20130309856A1 (en) Etch resistant barrier for replacement gate integration
US11721590B2 (en) Semiconductor device and method
CN109841619A (zh) 半导体结构切割工艺和由此形成的结构
CN110504162B (zh) 掩模材料的区域选择性沉积
US9865709B2 (en) Selectively deposited spacer film for metal gate sidewall protection
JP2022027617A (ja) マルチゲートデバイス及びその関連方法
TW202205592A (zh) 半導體元件結構
US10840133B2 (en) Semiconductor structure with staggered selective growth
KR20220043829A (ko) 비아 개구의 에칭 프로파일 제어
US20200303247A1 (en) Semiconductor structures with a protective liner and methods of forming the same
US20230197802A1 (en) Connection between gate and source/drain feature
US11916133B2 (en) Self-aligned contact structures
US12009253B2 (en) Semiconductor structure with staggered selective growth
KR102575956B1 (ko) 집적 회로 구조체 및 그 제조 방법
CN110534479B (zh) 改善第零层内介电层的填充能力的栅极及工艺方法
CN114068396B (zh) 半导体结构及其形成方法
US20230402277A1 (en) Semiconductor structure and manufacturing method thereof
Chan et al. Area-selective deposition of a tantalum silicide TaSi x mask material
CN106504983B (zh) 半导体器件制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant