TW202205592A - 半導體元件結構 - Google Patents

半導體元件結構 Download PDF

Info

Publication number
TW202205592A
TW202205592A TW110101821A TW110101821A TW202205592A TW 202205592 A TW202205592 A TW 202205592A TW 110101821 A TW110101821 A TW 110101821A TW 110101821 A TW110101821 A TW 110101821A TW 202205592 A TW202205592 A TW 202205592A
Authority
TW
Taiwan
Prior art keywords
spacer layer
conductive
dielectric material
layer
sidewall
Prior art date
Application number
TW110101821A
Other languages
English (en)
Inventor
黃麟淯
游力蓁
張家豪
莊正吉
程冠倫
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202205592A publication Critical patent/TW202205592A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

描述了半導體元件結構及其形成方法。半導體元件結構包含元件、設置在元件之上之第一介電材料,並在第一介電材料中形成開口。半導體元件結構進一步包含被設置在開口中之導電結構,且導電結構包含第一側壁。半導體元件結構進一步包含被設置在開口中之圍繞結構,且圍繞結構圍繞導電結構的第一側壁。圍繞結構包含第一間隔層及第二間隔層鄰近第一間隔層。第一間隔層與第二間隔層是藉由氣隙所隔開。

Description

半導體元件結構及其形成方法
隨著半導體產業引入具有更高性能及更多功能性之新一代積體電路(IC),形成IC之元件密度增加,同時組件或元件之間之尺寸、大小、及間距減少。在過去,此等減少僅受界定光刻結構之能力,具有較小尺寸之元件幾何結構產生新的限制因素。舉例而言,對於任何二個鄰近導電特徵,隨著導電特徵之間之距離減小,所得電容(絕緣材料的介電常數(k值)的函數除以導電特徵之間之距離)增加。此增加的電容致使導電特徵之間之電容耦合增加、增加的功耗、及在電阻電容(RC)時間常數上之增加。
因而,具有解決以上問題之需要。
以下揭露內容提供用於實行所提供的標的的不同特徵的許多不同的實施例或範例。後文描述組件及佈置之特定範例以簡化本揭露內容。當然,此等僅為範例且未意圖具限制性。例如,在後文的描述中,在第二特徵之上或上之第一特徵的形成操作可包含以直接接觸方式形成第一特徵及第二特徵的實施例,且亦可包含在第一特徵與第二特徵之間形成額外特徵,使得第一特徵及第二特徵可不直接接觸的實施例。此外,在各種範例中,本揭露內容可能重複元件符號及/或字母。此重複係出於簡單及清楚的目的,且其本身並不規範所論述的各種實施例及/或配置之間之關係。
再者,為了便於描述,本文中可使用諸如「在...之下」、「在...下方」、「較低」、「在...上方」、「較高」、及類似者的空間相對術語,以描述圖示中所例示之一個元件或特徵與另一元件(等)或特徵(等)的關係。除了圖示中所描繪的方位之外,空間相對術語亦意圖涵蓋元件在使用或操作中之不同方位。設備能以其他方式定向(旋轉90度或以其他定向),且本文中使用的空間相對描述語可同樣以相應的方式解釋。
圖1至20B圖示根據一些實施例,用於製造半導體元件結構100之範例性系列製程。可以理解,可在圖1至20B所示的製程之前、期間、及之後提供額外的操作,並針對此製程的額外的實施例而言,可替換或消除以下文所述之一些操作。可互換操作/製程的順序。
圖1為根據一些實施例,製造半導體元件結構100的各種階段中的一個階段的立體圖。如圖1中所示,半導體元件結構100包含基材102,基材102具有被形成在基材之上之至少複數個導電特徵104。在介電材料106中形成導電特徵104。可在基材102與導電特徵104之間形成一個或更多個元件(未圖示),諸如電晶體、二極體、成像感應器、電阻器、電容器、電感器、記憶體單元、其等的組合、及/或其他合適的元件。
圖2A至16A為根據一些實施例,沿著圖1的線A-A所取之製造半導體元件結構100的各種階段的截面側視圖。圖2B至16B為根據一些實施例,沿著圖1的線B-B所取之製造半導體元件結構100的各種階段的截面側視圖。圖2A為沿著圖1的線A-A所取之截面側視圖,且圖2B為沿著圖1的線B-B所取之截面側視圖。圖1的線A-A沿著大致垂直於閘極堆疊206之縱向方向延伸,且圖1的線B-B沿著閘極堆疊206的縱向延伸。如圖2A及2B中所示,半導體元件結構100包含基材102、被形成在基材102之一個或更多個元件202、被形成在元件202之上之介電材料106、及被形成在介電材料106中之導電特徵104。基材102可為半導體基材。在一些實施例中,基材102包含至少在基材102表面上之單晶體半導體層。基材102可包含單晶體半導體材料,諸如,但不限於矽(Si)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、銻化銦(InSb)、磷化鎵(GaP)、銻化鎵(GaSb)、砷化鋁銦(InAlAs)、砷化鎵銦(InGaAs)、磷化銻鎵(GaSbP)、銻化砷鎵(GaAsSb)、及磷化銦(InP)。舉例而言,基材102是由Si所製成。在一些實施例中,基材102為絕緣體上矽(SOI)基材,此絕緣體上矽(SOI)基材包含被設置在二個矽層之間之絕緣層(未圖示)。在一個態樣中,絕緣層為含氧材料,諸如氧化物。
基材102可包含在基材102表面上之一個或更多個緩衝層(未圖示)。緩衝層可用於逐漸將晶格常數從基材的晶格常數改變為源極/汲極區的晶格常數。可由諸如,但不限於Si、Ge、鍺錫(GeSn)、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP、及InP晶磊地生成之單晶體半導體材料形成緩衝層。在一個實施例中,基材102包含晶磊地生成在矽基材102上之SiGe緩衝層。SiGe緩衝層的鍺濃度可從最底部緩衝層之30原子百分比的鍺增加至最頂部緩衝層之70原子百分比的鍺。
基材102可包含各種區域,此等區域已適當摻雜了雜質(例如,p型或n型雜質)。摻雜物為,舉例而言,n型鰭狀結構場效電晶體(FinFET)之硼及p型FinFET之磷。
如上文所述,元件202可為任何合適的元件,諸如電晶體、二極體、成像感應器、電阻器、電容器、電感器、記憶體單元、或其等的組合。在一些實施例中,元件202為電晶體,諸如平面場效電晶體(FETs)、FinFETs、奈米片材電晶體、或其他合適的電晶體。奈米片材電晶體可包含奈米線材電晶體、全閘極(GAA)電晶體、多橋溝槽(MBC)電晶體、或任何具有圍繞通道之閘極電極的電晶體。一種被形成基材102與導電特徵104之間之元件202的範例為FinFET,如圖2A及2B所示。元件202包含源極/汲極(S/D)區204及閘極堆疊206。可將每個閘極堆疊206設置在用作源極區之源極/汲極區204與用作汲極區之源極/汲極區204之間。舉例而言,每個閘極堆疊206可在用作源極區之複數個源極/汲極區204與用作汲極區之複數個源極/汲極區204之間、沿著Y軸延伸。如圖2A中所示,在基材102上形成閘極堆疊206。在一些實施例中,在基材102上形成超過二個閘極堆疊206。在用作源極區之源極/汲極區204與用作汲極區之源極/汲極區204之間形成溝槽區208。
源極/汲極區204可包含半導體材料,諸如矽或鍺、III-V族化合物半導體、II-VI族化合物半導體、或其他合適的半導體材料。範例性源極/汲極區204可包含,但不限於,Ge、SiGe、GaAs、AlGaAs、GaAsP、SiP、InAs、AlAs、InP、GaN、InGaAs、InAlAs、GaSb、AlP、GaP、及類似者。源極/汲極區204可包含p型摻雜物,諸如硼;n型摻雜物,諸如磷或砷;及/或其他包含其等的組合之合適的摻雜物。可藉由使用CVD、原子層沉積(ALD)、或分子束外延(MBE)之外延生長方法形成源極/汲極區204。溝槽區208可包含一個或更多個半導體材料,諸如Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP、或InP。在一些實施例中,溝槽區208包含與基材102相同的半導體材料。在一些實施例中,元件202為FinFET,而溝槽區208為設置在閘極堆疊206下方之複數個鰭狀結構。在一些實施例中,元件202為奈米片材電晶體,而溝槽區208由閘極堆疊206所圍繞。
每個閘極堆疊206包含被設置在溝槽區208之上(或針對奈米片材電晶體時,則圍繞溝槽區208)之閘電極層210。閘電極層210可為含金屬材,諸如鎢、鈷、鋁、釕、銅、其等的多層、或類似的金屬材料,且可藉由ALD、電漿增強化學氣相沉積(PECVD)、MBD、物理氣相沉積(PVD)、或任何合適的沉積技術所沉積。每個閘極堆疊206可包含界面介電層212、設置在界面介電層212上之閘極介電層214、及設置在閘極介電層214上之一個或更多個共形層216。可將閘電極層210設置在一個或更多個共形層216上。界面介電層212可包含介電材料,諸如含氧材料、或含氮材料、或其等的多層,且可藉由任何合適的沉積方法,諸如CVD、PECVD、或ALD形成界面介電層212。閘極介電層214可包含諸如含氧材料或含氮材料之介電材料、具有大於7.0之k值的高k值介電材料、或其等的多層。可藉由任何合適的方法,諸如ALD、CVD、或PECVD,形成閘極介電層214。一個或更多個共形層216可包含一個或更多個阻擋層及/或覆蓋層,諸如含氮材料,例如氮化鉭(TaN)、氮化鈦(TiN)、或類似者。一個或更多個共形層216可進一步包含一個或更個多功能層,諸如碳化鈦鋁、氧化鈦鋁、氮化鈦鋁、或類似者。在本文中可使用術語「共形」,以便於描述在各種區域上方具有大致相同的厚度。一個或更多個共形層216可藉由ALD、PECVD、MBD、或任何合適的沉積技術,所沉積。
沿著閘極堆疊206的側壁(例如,閘極介電層214的側壁)形成閘極間隔件218。閘極間隔件218可包含碳氧化矽、氮化矽、氮氧化矽、氮化碳矽、類似者、其等的多層、或其等的組合,並可藉由CVD、ALD、或其他合適的沉積技術所沉積。
可在隔離區203上形成部分閘極堆疊206及閘極間隔件218。在基材102上形成隔離區203。隔離區203可包含諸如含氧材料、含氮材料、或其等的組合之絕緣材料。可由高密度電漿化學氣相沉積(HDP-CVD)、可流動化學氣相沉積(FCVD)、或其他合適的沉積製程形成絕緣材料。在一個態樣中,隔離區203包含藉由FCVD製程所形成之氧化矽。
在部分源極/汲極區204及隔離區203上形成接觸蝕刻停止層224,且在接觸蝕刻停止層 224上形成第一/6ru0 ur,42u04h/6層間介電層226。當第一層間介電層 226中形成開口時,接觸蝕刻停止層 224可提供停止蝕刻製程之機制。可將接觸蝕刻停止層 224共形地沉積在源極/汲極區204及隔離區203的表面上。接觸蝕刻停止層 224可包含含氧物質或含氮物質,諸如氮化矽、氮化矽、氮氧化矽、氮化碳、氧化矽、氧化碳矽、或類似者、或其等的組合,且可藉由CVD、PECVD、ALD、或任何合適的沉積技術所沉積。第一層間介電層 226可包含正矽酸四乙酯(TEOS)氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽,諸如硼酸矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽酸鹽玻璃(PSG)、硼摻雜的矽玻璃(BSG)、有機矽玻璃(OSG)、SiOC、及/或任何合適的低介電常數介電材料(例如,具有介電常數低於二氧化矽之材料),並可藉由旋塗、CVD、FCVD、PECVD、PVD、或任何適當的沉積技術所沉積。
在每個源極/汲極區204的至少一部分上形成矽化物層220,如圖2A及2B中所示。矽化物層220可包含具有一個或更多個WSi、CoSi、NiSi、TiSi、MoSi、及TaSi之材料。在一些實施例中,矽化物層220包含金屬或金屬合金矽化物,且金屬包含貴金屬、耐火金屬、稀土金屬、其等的合金、或其等的組合。將導電觸點222設置在每個矽化物層220上。導電觸點222可包含具有Ru、Mo、Co、Ni、W、Ti、Ta、Cu、Al、TiN、或TaN之材料,且可藉由任何合適的方法,諸如電化學鍍(ECP)、或PVD,形成導電觸點222。可藉由在第一層間介電層 226及接觸蝕刻停止層 224中首先形成開口以覆蓋至少部分源極/汲極區204,來形成矽化物層220及導電觸點222,接著在源極/汲極區204的被暴露部分上形成矽化物層220,且接著在矽化物層220上形成導電觸點222。
可在元件202之上形成蝕刻停止層228,如圖2A及2B中所示。蝕刻停止層228可包含與接觸蝕刻停止層 224相同的材料,並可藉由與用於與接觸蝕刻停止層 224相同的製程所沉積。在蝕刻停止層228上形成介電材料106。介電材料106可為另一蝕刻停止層。介電材料106可包含與蝕刻停止層228相同的材料,並可藉由用於與蝕刻停止層228相同的製程所沉積。在蝕刻停止層228及介電材料106中形成導電特徵104,且每個導電特徵104可與對應的導電觸點222接觸。
接著,如圖3A及3B中所示,在介電材料106及複數個導電特徵104上形成介電材料302。為清楚起見,將被形成在基材102上之元件202(圖2A及2B)及其他特徵省略。介電材料302可為第二ILD層。介電材料302可包含SiC、LaO、AlO、AlON、ZrO、HfO、SiN、ZnO、ZrN、ZrAlO、TiO、TaO、YO、TaCN、ZrSi、SiOCN、SiOC、SiCN、HfSi、或SiO。在一些實施例中,介電材料302可具有自約1奈米(nm) 至約40nm範圍之厚度。若介電材料302的厚度大於約20nm,則製造成本增加而無明顯的優勢;若介電材料302的厚度小於約1nm,則介電材料302可能不足以將被形成在介電材料302下方之任何導電特徵與被形成在介電材料302上之導電特徵隔離。可藉由任何合適的方法,諸如CVD或PECVD,形成介電材料302。
接著,如圖4A及4B中所示,在部分介電材料302上形成遮罩層402。可藉由首先在介電材料302上形成一層而形成遮罩層402。此層可包含含氧材料或含氮材料,諸如氧化矽層、氮化矽層、氮氧化矽層、或其等的組合。可對此層圖案化並蝕刻此層以形成遮罩層402。圖案化製程可包含在此層之上形成光阻層(未圖示)、將抗蝕劑暴露於圖案、進行曝光後烘烤製程、及顯影抗蝕劑之光微影製程。在一些實施例中,可使用可接受的微影製程,諸如電子光束(e-beam)微影製程、極紫外微影製程、或類似者對抗蝕劑進行圖案化。使用一個或更多個蝕刻製程將抗蝕劑的圖案轉移至此層,以形成遮罩層402。在一些實施例中,蝕刻製程可包含乾式蝕刻(例如 反應離子蝕刻(RIE))、濕式蝕刻、其他蝕刻方法、及/或其等的組合。
將遮罩層402的圖案藉由去除未被遮罩層402覆蓋之部分介電材料302,而轉移至介電材料302,如圖5A及5B中所示。部分介電材料302的去除操作可藉由任何合適的方法,諸如乾式蝕刻、濕式蝕刻、或其等的組合,所進行。接著去除遮罩層402。剩餘介電材料302具有頂部表面503及側壁504。由於部分介電材料302的去除操作,而形成開口502。每個開口502可藉由相應的側壁504所界定。在一些實施例中,開口502為槽溝,且界定每個槽溝之側壁504包含多個表面,諸如4個表面,如圖5A及5B中所示。在一些實施例中,開口502為通孔,而界定每個通孔之側壁504為連續表面。由於蝕刻製程,側壁504可相對於介電材料106的頂部表面506形成銳角A。銳角A可在自約60度至約89.5度之範圍。在實施例中,側壁504包含多個表面,每個表面可相對於介電材料106的頂部表面506形成銳角A,側壁504的表面的銳角A可大致相同或不同。
如圖5A及5B中所示,開口502暴露導電特徵104及介電材料106的部分頂部表面506。在一些實施例中,當在蝕刻停止層228及介電材料106中不存在導電特徵104時,去除未被介電材料302覆蓋的部分介電材料106及部分蝕刻停止層228,以暴露導電觸點222及部分第一層間介電層 226(圖2A及2B)。部分第一介電材料106及部分蝕刻停止層228的去除操作可藉由與部分介電材料302的去除操作相同的製程所進行,或藉由單獨的製程作為部分介電材料302的去除操作。如圖5A中所示,沿著X軸暴露2個導電特徵104。在一些實施例中,暴露超過2個導電特徵104,諸如超過5個或超過10個導電特徵104。在不存在導電特徵104之實施例中,暴露超過2個導電觸點222(圖2A及2B),諸如超過5個或超過10個導電觸點222。
在介電材料302的頂部表面503、介電材料302的側壁504、介電材料106的頂部表面506的被暴露部分、及導電特徵104上形成第一間隔層602,如圖6A及6B中所示。第一間隔層602可包含SiC、LaO、AlO、AlON、ZrO、HfO、SiN、ZnO、ZrN、ZrAlO、TiO、TaO、YO、TaCN、ZrSi、SiOCN、SiOC、SiCN、HfSi,或SiO。第一間隔層602可包含與介電材料302相同或不同的材料。在一些實施例中,第一間隔層602可為共形地沉積且可具有自約0.5nm至約10nm範圍之厚度。在後續部分犧牲間隔層702的移除操作期間(圖7A及7B),第一間隔層602保護導電特徵104(或若不存在導電特徵104,則保護如圖2A及2B所示的導電觸點222) 。因此,若第一間隔層602的厚度小於約0.5nm,則第一間隔層602可能不足以保護導電特徵104。另一方面,若第一間隔層602的厚度大於約10nm,被隨後形成在第一間隔層602上之氣隙1602(圖16A及16B)的尺寸可能太小以至於無法在相鄰導電結構1502(圖15A及15B)之間提供改善的隔離。可藉由任何合適的方法,諸如ALD、CVD、或PECVD,形成第一間隔層602。
在第一間隔層602上形成犧牲間隔層702,如圖7A及7B中所示。可在介電材料302的頂部表面503、介電材料106的部分頂部表面506、導電特徵104之上、及鄰近介電材料302的側壁504處形成犧牲間隔層702。犧牲間隔層702可包含具有與第一間隔層602的材料不同的蝕刻選擇性之材料。舉例而言,犧牲間隔層702可包含半導體材料,諸如Si、Ge、SiGeB、或其他合適的半導體材料,此半導體材料與第一間隔層602的介電材料相比,具有不同的蝕刻選擇性。在一些實施例中,犧牲間隔層702可為共形地沉積且可具有自約0.5nm至約6nm範圍之厚度。犧牲間隔層702的厚度界定氣隙1602的寬度(圖16A及16B)。因此,若氣隙1602的寬度小於約0.5nm,則氣隙1602可能無法在相鄰導電結構1502之間提供改善的電隔離(圖15A及15B)。另一方面,若氣隙1602的寬度大於約6nm,則被形成在氣隙1602上之任何材料,諸如密封材料1802(圖18A及18B),可填充整個氣隙1602。可藉由任何合適的方法,諸如ALD、CVD、或PECVD,形成犧牲間隔層702。
接著,如圖8A及8B中所示,去除部分犧牲間隔層702。在一些實施例中,去除設置在介電材料302的頂部表面503之上之部分犧牲間隔層702、介電材料106的部分頂部表面506、及導電特徵104,而留下犧牲間隔層702鄰近介電材料302的側壁504的部分。換言之,將犧牲間隔層702的剩餘部分設置為鄰近部分第一間隔層602並與部分第一間隔層602接觸,此部分第一間隔層602被設置為鄰近介電材料302的側壁504並與介電材料302的側壁504接觸 。暴露被設置在介電材料302的頂部表面503上之部分第一間隔層602、介電材料106的部分頂部表面506、及導電特徵104。
部分犧牲間隔層702的去除操作可藉由任何合適的方法,諸如蝕刻製程,所進行。在一範例中,蝕刻製程為利用氯或氟為基礎之蝕刻劑之各向異性乾式蝕刻製程。各向異性乾式蝕刻去除被設置在水平表面上之部分犧牲間隔層702,但並未去除被設置在鄰近介電材料302的側壁504之部分。基於氯或氟之蝕刻劑選擇性地去除部分犧牲間隔層702,而並未去除第一間隔層602。第一間隔層602在部分犧牲間隔層702的移除操作期間保護導電特徵104(或若不存在導電特徵104,則保護如圖2A及2B所示的導電觸點222) 。
在第一間隔層602的被暴露部分及犧牲間隔層702的被暴露部分上形成第二間隔層902,如圖9A及9B中所示。第二間隔層902可包含SiC、LaO、AlO、AlON、ZrO、HfO、SiN、ZnO、ZrN、ZrAlO、TiO、TaO、YO、TaCN、ZrSi、SiOCN、SiOC、SiCN、HfSi,或SiO。第二間隔層902可包含與第一間隔層602相同或不同的材料。可由具有與犧牲間隔層702不同的蝕刻選擇性之材料形成第二間隔層902。在一些實施例中,第二間隔層902可為共形地沉積且可具有自約0.5nm至約6nm範圍之厚度。第二間隔層902提供結構性支撐給導電結構1502(圖15A及15B)。因此,若第二間隔層902的厚度小於約0.5nm,則第二間隔層902可能不足以支撐導電結構1502(圖15A及15B)。另一方面,若第二間隔層902的厚度大於約6nm,則製造成本增加而無明顯的優勢。可藉由任何合適的方法,諸如ALD、CVD、或PECVD,形成第二間隔層902。
接著,如圖10A及10B中所示,去除部分第二間隔層902及部分第一間隔層602。在一些實施例中,去除設置在介電材料302的頂部表面503之上之部分第二間隔層902、介電材料106的部分頂部表面506、及導電特徵104,而留下第二間隔層902鄰近介電材料302的側壁504的部分。亦去除被設置在介電材料302的頂部表面503上之部分第一間隔層602、介電材料106的部分頂部表面506、及導電特徵104。部分第一間隔層602及部分第二間隔層902的去除操作 可藉由任何合適的方法,諸如蝕刻製程,所進行。在一範例中,蝕刻製程為各向異性乾式蝕刻製程。各向異性乾式蝕刻製程去除被設置在水平表面上之部分第一間隔層602及部分第二間隔層902,但並未去除被設置在鄰近介電材料302的側壁504之部分。各向異性乾式蝕刻製程可為去除部分第一間隔層602及部分第二間隔層902,而並未去除導電特徵104及介電材料106之選擇性製程。結果,第二間隔層902鄰近犧牲間隔層702並與犧牲間隔層702接觸,此犧牲間隔層702鄰近第一間隔層602並與第一間隔層602接觸,此第一間隔層602鄰近介電材料302的側壁504並與介電材料302的側壁504接觸。再者,將第二間隔層902及犧牲間隔層702設置在部分第一間隔層602上並與部分第一間隔層602接觸,其中此第一間隔層602被設置在介電材料106的頂部表面506上並與介電材料106的頂部表面506接觸,如10A及10B中所示。
在介電材料302的被暴露表面、第一間隔層602的被暴露表面、犧牲間隔層702的被暴露表面、第二間隔層902的被暴露表面、介電材料106的被暴露表面、及導電特徵104的被暴露表面上形成第一阻擋層1102,如圖11A及11B中所示。第一阻擋層1102可包含Co、W、Ru、Al、Mo、Ti、TiN、TiSi、CoSi、NiSi、Cu、TaN、Ni、或TiSiNi。第一阻擋層1102可為單層或多層結構,諸如雙層結構或三層結構。在一些實施例中,第一阻擋層1102可為共形地沉積且可具有自約0.5nm至約10nm範圍之厚度。第一阻擋層1102充作擴散阻擋層以防止第一導電材料1104擴散進入介電材料106。因此,若第一阻擋層1102的厚度小於約0.5nm,則第一阻擋層1102可能不足以防止第一導電材料1104的擴散進入介電材料106。另一方面,若第一阻擋層1102的厚度大於約10nm,則製造成本增加而無明顯的優勢。可藉由任何合適的方法,諸如ALD, CVD或PECVD,形成第一阻擋層1102。
在第一阻擋層1102上形成第一導電材料1104,如圖11A及11B中所示。第一導電材料1104可包含Co、W、Ru、Al、Mo、Ti、TiN、TiSi、CoSi、NiSi、Cu、TaN、Ni、或TiSiNi。第一導電材料1104 可包含與第一阻擋層1102相同或不同的材料。在一些實施例中,不存在第一阻擋層1102,且在介電材料302的被暴露表面、第一間隔層602的被暴露表面、犧牲間隔層702的被暴露表面、第二間隔層902的被暴露表面、介電材料106的被暴露表面、及導電特徵104的被暴露表面上形成第一導電材料1104。
接著,如圖12A及12B中所示,進行平坦化製程以暴露介電材料302。平坦化製程可為任何合適的製程,諸如化學機械拋光(CMP)製程。平坦化製程移除部分第一導電材料1104及部分第一阻擋層1102,因此第一導電材料1104與介電材料302大致共面。
在一些實施例中,回蝕刻第一阻擋層1102及第一導電材料1104,如圖13A及13B中所示。去除鄰近第二間隔層902並與第二間隔層902接觸之部分第一阻擋層1102,並暴露部分第二間隔層902。第一導電材料1104的厚度被減少,因此開口502被部分地填充。可藉由任何合適的方法,諸如乾式蝕刻、濕式蝕刻、或其等的組合,進行第一阻擋層1102及第一導電材料1104的回蝕刻。在一些實施例中,利用選擇性乾式蝕刻製程以進行回蝕刻。選擇性乾式蝕刻製程選擇性地去除部分第一阻擋層1102及部分第一導電材料1104,而並未去除第一間隔層602、犧牲間隔層702、第二間隔層902、及介電材料302。
接著,如圖14A及14B中所示,在介電材料302的被暴露表面、第一間隔層602的被暴露表面、犧牲間隔層702的被暴露表面、第二間隔層902的被暴露表面、第一阻擋層1102的被暴露表面、及第一導電材料1104的被暴露表面上形成第二阻擋層1402。第二阻擋層1402可包含Co、W、Ru、Al、Mo、Ti、TiN、TiSi、CoSi、NiSi、Cu、TaN、Ni、或TiSiNi。第二阻擋層1402可為單層或多層結構,諸如雙層結構或三層結構。在一些實施例中,第二阻擋層1402可為共形地沉積且可具有自約0.5nm至約10nm範圍之厚度。第二阻擋層1402充作擴散阻擋層以防止第二導電材料1404擴散進入第二間隔層902。因此,若第二阻擋層1402的厚度小於約0.5nm,則第二阻擋層1402可能不足以防止第二導電材料1404的擴散進入第二間隔層902。另一方面,若第二阻擋層1402的厚度大於約10nm,則製造成本增加而無明顯的優勢。可藉由任何合適的方法,諸如ALD、CVD、或PECVD,形成第二阻擋層1402。
在第二阻擋層1402上形成第二導電材料1404,如圖14A及14B中所示。第二導電材料1404可包含Co、W、Ru、Al、Mo、Ti、TiN、TiSi、CoSi、NiSi、Cu、TaN、Ni、或TiSiNi。第二導電材料1404 可包含與第二阻擋層1402相同或不同的材料。在一些實施例中,不存在第二阻擋層1402,且在介電材料302的被暴露表面、第一間隔層602的被暴露表面、犧牲間隔層702的被暴露表面、第二間隔層902的被暴露表面、第一阻擋層1102的被暴露表面、及第一導電材料1104的被暴露表面上形成第二導電材料1404。
在一些實施例中,第一導電材料1104為具有低電阻率之金屬,諸如銅,且在未具有第二導電材料1404的情況下,第一導電材料1104填充開口502(第二導電材料1404及第二阻擋層1402不存在)。然而,隨著特徵尺寸變小,開口502中之材料,諸如銅,可能沒有良好的階梯覆蓋度。因此,在一些實施例中,可將在開口502中具有良好的階梯覆蓋度之導電材料用作第一導電材料1104,而可將具有低電阻率的導電材料用作第二導電材料1404。開口502的底部具有小於開口502的頂部之尺寸,因此,在開口502的底部處形成具有良好的階梯覆蓋度之第一導電材料1104,且在開口502的頂部處形成具有低電阻率的第二導電材料1404。舉例而言,第一導電材料1104為TiN而第二導電材料1404為Cu。在一些實施例中,第一導電材料1104的厚度在自約0.5nm至約40nm之範圍,而第二導電材料1404的厚度在自約0.5nm至約38nm之範圍。在一些實施例中,不存在第二導電材料1404。第一導電材料1104及第二導電材料1404的厚度可藉由介電材料302的厚度所界定。
接著,如圖15A及15B中所示,進行平坦化製程以暴露介電材料302及犧牲間隔層702。平坦化製程可為任何合適的製程,諸如CMP製程。平坦化製程去除部分第二導電材料1404及部分第二阻擋層1402,因此第二導電材料1404與介電材料302大致共面。可將第一阻擋層1102、第一導電材料1104、第二阻擋層1402、及第二導電材料1404統稱為導電結構1502。導電結構1502可為導電觸點、導電線路、導電通孔。在一些實施例中,導電結構1502包含第一導電材料1104及可選地包含第一阻擋層1102,而不存在第二阻擋層1402及第二導電材料1404。
去除被設置在第一間隔層602與第二間隔層902之間之犧牲間隔層702,以形成氣隙1602,如圖16A及16B中所示。可藉由任何合適的方法,諸如蝕刻製程,進行犧牲間隔層702的去除操作。在一範例中,蝕刻製程為利用基於氯或氟之蝕刻劑之選擇性乾式蝕刻製程。基於氯或氟之蝕刻劑選擇性地去除犧牲間隔層702,而並未去除第一間隔層602、第二間隔層902、介電材料302、第二阻擋層1402、及第二導電材料1404。第二間隔層902可充作導電結構1502之結構支撐。若未具有第二間隔層902,則導電結構1502可能塌陷至氣隙1602之中。
氣隙1602可具有藉由犧牲間隔層702的厚度所界定之寬度。在一些實施例中,氣隙1602具有自約0.5nm至約6nm範圍之寬度。因為氣隙1602是由犧牲間隔層702設置在鄰近部分第一間隔層602一部分所界定,其中此第一間隔層602被設置在介電材料302的側壁504上,氣隙1602亦相對於介電材料106的頂部表面506形成銳角A。如圖16A及16B中所示, 第一間隔層602包含被設置在介電材料302的側壁504上之第一部分1604及設置在介電材料106上之第二部分1606。將第二間隔層902設置在第一間隔層602的第二部分1606上。將氣隙1602設置在第二間隔層902與第一間隔層602的第一部分1604之間。
圖17為根據一些實施例,在如圖16A及16B中所示之製造階段處之半導體元件結構100的俯視圖。如圖17中所示,將導電結構1502設置在開口502中。導電結構1502具有側壁1702。側壁1702可包含基於導電結構1502的形狀之一個或更多個表面。在一些實施例中,側壁1702包含連續表面。在一些實施例中,側壁1702包含複數個表面。舉例而言,導電結構1502為導電線路,且側壁1702包含第一表面1702a、在與第一表面1702a相對之第二表面1702b、連接第一表面1702a及第二表面1702b之第三表面1702c、及與第三表面1702c相對之第四表面1702d。第二間隔層902圍繞導電結構1502的側壁1702、氣隙1602圍繞第二間隔層902、且第一間隔層602圍繞氣隙1602。如圖17中所示,氣隙1602為圍繞導電結構1502的第一、第二、第三、及第四表面1702a至1702d之連續氣隙。可將第一間隔層602、氣隙1602、及第二間隔層902統稱為圍繞結構1704。將圍繞結構1704設置在開口502中且圍繞結構1704圍繞導電結構1502的側壁1702。舉例而言,圍繞結構1704可圍繞導電結構1502的第一表面1702a、第二表面1702b、第三表面1702c、及第四表面1702d。在一些實施例中,圍繞結構1704包含第一間隔層602及鄰近第一間隔層6021第二間隔層902,且氣隙1602在第一間隔層602與第二間隔層902之間。與第一間隔層602及第二間隔層902的材料相比,具有較低k值之圍繞結構1704包含氣隙1602,導致相鄰導電結構之間之減少的電容耦合。
圖18A至20A為根據一些實施例,沿著圖1的線A-A所取之製造半導體元件結構100的各種階段的截面側視圖。圖18B至20B為根據一些實施例,沿著圖1的線B-B所取之製造半導體元件結構100的各種階段的截面側視圖。如圖18A及18B中所示, 在氣隙1602的形成操作之後,在介電材料302、圍繞結構1704、及導電結構1502上形成密封材料1802。密封材料1802亦可通過部分地填充氣隙1602而密封氣隙1602。將密封材料1802設置在氣隙1602之上且在第一間隔層602的第一部分1604與第二間隔層902之間。歸因於氣隙1602的小開口(即,氣隙1602的寬度),密封材料1802並未完全填充氣隙1602。密封材料1802可包含SiC、LaO、AlO、AlON、ZrO、HfO、SiN、ZnO、ZrN、ZrAlO、TiO、TaO、YO、TaCN、ZrSi、SiOCN、SiOC、SiCN、HfSi,或SiO。密封材料1802可包含與第一間隔層602相同或不同的材料。可藉由任何合適的方法,諸如CVD,形成密封材料1802。
接著,如圖19A及19B中所示,進行平坦化製程以暴露介電材料302。平坦化製程可為任何合適的製程,諸如CMP製程。平坦化製程去除部分密封材料1802,因此第二導電材料1404與介電材料302大致共面。如上文所述,密封材料1802部分地填充氣隙1602。結果,氣隙1602具有自約1nm至約39nm範圍之高度H1,而密封材料1802具有自約0.5nm至約5nm範圍之高度H2。密封材料1802密封氣隙1602以防止氣隙1602被形成在氣隙1602之上之任何材料所填充。因此,若高度H2小於約0.5nm,則密封材料1802可能不足以密封氣隙1602。另一方面,若高度H2大於約5nm,則氣隙1602的尺寸可能太小以致無法在相鄰導電結構1502之間提供改善的電隔離。
在介電材料302、圍繞結構1704、導電結構1502、及密封材料1802上形成介電材料2002,如圖20A及20B中所示.介電材料2002可為第三ILD層。介電材料2002可包含SiC、LaO、AlO、AlON、ZrO、HfO、SiN、ZnO、ZrN、ZrAlO、TiO、TaO、YO、TaCN、ZrSi、SiOCN、SiOC、SiCN、HfSi、或SiO。介電材料2002可包含與介電材料302相同或不同的材料。介電材料2002可具有自約1nm至約40nm範圍之厚度且可藉由任何合適的方法,諸如CVD或PECVD,形成介電材料2002。在一些實施例中,密封材料1802包含與介電材料2002相同的材料,且密封材料1802可充作第三ILD層。在此等實施例中,跳過圖19A及19B中所述之平坦化製程及圖20A及20B中所述之介電材料2002的沉積。可在介電材料2002中形成導電結構(未圖示)以連接至導電結構1502。
本揭露內容提供包含元件202、設置在元件202之上之導電結構1502、及圍繞導電結構1502的側壁1702之圍繞結構1704之半導體元件結構100。圍繞結構1704包含第一間隔層602及藉由氣隙1602與第一間隔層602所隔開之第二間隔層902。一些實施例可達成優勢。舉例而言,可藉由被隨後去除的部分第一間隔層602,保護被設置在導電結構1502下方之導電特徵104。第二間隔層902可提供結構性支撐給導電結構1502。與第一及第二間隔層602、902相比,氣隙1602具有最低的k值,此舉減少相鄰導電結構1502之間的電容耦合。
一實施例為半導體元件結構。半導體元件結構包含元件、設置在元件之上之第一介電材料,並在第一介電材料中形成開口。半導體元件結構進一步包含被設置在開口中之導電結構,且導電結構包含第一側壁。半導體元件結構進一步包含被設置在開口中之圍繞結構,且圍繞結構圍繞導電結構的第一側壁。圍繞結構包含第一間隔層及第二間隔層鄰近第一間隔層。第一間隔層與第二間隔層是藉由氣隙所隔開。
另一實施例為半導體元件結構。半導體元件結構包含元件、設置在元件之上之第一介電材料、設置在第一介電材料中之第一導電特徵、及設置在第一介電材料上之第二介電材料。第二介電材料具有形成在第二介電材料中之開口,且開口是藉由第二介電材料的第一側壁所界定。半導體元件結構進一步包含被設置在開口中之導電結構及含設置在開口中之圍繞結構。圍繞結構包含第一間隔層,第一間隔層包含第一部分及第二部分。將第一間隔層的第一部分設置在第二介電材料的第一側壁上,且將第一間隔層的第二部分設置在第一介電材料上。圍繞結構進一步包含被設置第一間隔層的第二部分上之第二間隔層。在第一間隔層的第一部分與第二間隔層之間形成氣隙。
進一步實施例為方法。此方法包含在基材之上形成元件、在元件之上形成導電特徵、在元件之上形成第一介電材料、在第一介電材料中形成開口以暴露導電特徵。開口是藉由第一介電材料的第一側壁所界定。此方法進一步包含在導電特徵及第一介電材料的第一側壁上形成第一間隔層,其中第一間隔層包括第一部分及第二部分。第一部分與第一介電材料的第一側壁接觸。此方法進一步包含在第一間隔層上形成犧牲間隔層,並去除部分犧牲間隔層以暴露第一間隔層的第二部分。犧牲間隔層的剩餘部分與第一間隔層的第一部分接觸。此方法進一步包含在第一間隔層的被暴露第二部分及犧牲間隔層的剩餘部分上形成第二間隔層,並在開口中形成導電結構。導電結構包含第二側壁。此方法進一步包含去除犧牲間隔層的剩餘部分以形成氣隙,且氣隙圍繞導電結構的第二側壁。
前文概述數種實施例的特徵,以便本領域熟習技藝者可更理解本揭露內容的態樣。熟習此項技藝者應當理解,熟習此項技藝者可輕易地使用本揭露內容作為設計或修改其他製程及結構的基礎,以實現本文介紹的實施例的相同目的及/或達成相同優點。熟習此項技藝者亦應當認識到,此等效構造不脫離本揭露內容的精神及範圍,且在不脫離本揭露內容的精神及範圍之情況下,熟習此項技藝者可在此文中進行各種改變、替換、及變更。
A:銳角 A-A,B-B:線 H1,H2:高度 100:半導體元件結構 102:基材 104:導電特徵 106,302,2002:介電材料 202:元件 203:隔離區 204:源極/汲極區 206:閘極堆疊 210:閘電極層 212:界面介電層 214:閘極介電層 216:共形層 218:閘極間隔件 220:矽化物層 222:導電觸點 224:接觸蝕刻停止層 226:第一層間介電層 228:蝕刻停止層 402:遮罩層 502:開口 503,506:頂部表面 504:側壁 602:第一間隔層 702:犧牲間隔層 902:第二間隔層 1102:第一阻擋層 1104:第一導電材料 1402:第二阻擋層 1404:第二導電材料 1502:導電結構 1602:氣隙 1604:第一部分 1606:第二部分 1702:側壁 1702a:第一表面 1702b:第二表面 1702c:第三表面 1702d:第四表面 1704:圍繞結構 1802:密封材料
當與附圖一起閱讀時,可由後文實施方式最佳地理解本揭露內容的態樣。注意到根據此行業中之標準實務,各種特徵並未按比例繪製。實際上,為了論述的清楚性,可任意增加或減少各種特徵的尺寸。 圖1為根據一些實施例,製造半導體元件結構的各種階段中的一個階段的立體圖。 圖2A至16A為根據一些實施例,沿著圖1的線A-A所取之製造半導體元件結構的各種階段的截面側視圖。 圖2B至16B為根據一些實施例,沿著圖1的線B-B所取之製造半導體元件結構的各種階段的截面側視圖。 圖17為根據一些實施例,在如圖16A及16B中所示之製造階段處之半導體元件結構的俯視圖。 圖18A至20A為根據一些實施例,沿著圖1的線A-A所取之製造半導體元件結構的各種階段的截面側視圖。 圖18B至20B為根據一些實施例,沿著圖1的線B-B所取之製造半導體元件結構的各種階段的截面側視圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:半導體元件結構
102:基材
104:導電特徵
106,302,2002:介電材料
228:蝕刻停止層
1502:導電結構
1602:氣隙
1704:圍繞結構
1802:密封材料

Claims (20)

  1. 一種半導體元件結構,包括: 一元件; 設置在該元件之上之一第一介電材料,其中在該第一介電材料中形成一開口; 設置在該開口中之一導電結構,其中該導電結構包含一第一側壁;及 設置在該開口中之一圍繞結構,其中該圍繞結構圍繞該導電結構的該第一側壁,且該圍繞結構包括: 一第一間隔層;及 與該第一間隔層相鄰之一第二間隔層,其中該第一間隔層與該第二間隔層是藉由一氣隙所隔開。
  2. 如請求項1所述之半導體元件結構,其中該第一側壁包括一第一表面、與該第一表面相對之一第二表面、連接該第一表面及該第二表面之一第三表面、及與該第三表面相對之一第四表面。
  3. 如請求項2所述之半導體元件結構,其中該第一介電材料包括一第二側壁,其中該第二側壁界定該開口。
  4. 如請求項3所述之半導體元件結構,其中該第一間隔層與該第二側壁接觸,且該第二間隔層與該第一側壁接觸。
  5. 如請求項1所述之半導體元件結構,其中該導電結構包括一第一導電特徵。
  6. 如請求項5所述之半導體元件結構,其中該導電結構進一步包括被設置在該第一導電特徵之上之一第二導電特徵。
  7. 如請求項5所述之半導體元件結構,其中該導電結構進一步包括一第一阻擋層,其中該第一阻擋層與該圍繞結構接觸,且該第一導電特徵設置在該第一阻擋層上。
  8. 如請求項7所述之半導體元件結構,其中該導電結構進一步包括被設置在該第一導電特徵上之一第二阻擋層及設置在該第二阻擋層上之一第二導電特徵。
  9. 一種半導體元件結構,包括: 一元件; 設置在該元件之上之一第一介電材料; 設置在該第一介電材料中之一第一導電特徵; 設置在該第一介電材料上之一第二介電材料,其中該第二介電材料具有形成在該第二介電材料中之一開口,且該開口是藉由該第二介電材料的一第一側壁所界定; 設置在該開口中之一導電結構;及 設置在該開口中之一圍繞結構,其中該圍繞結構包括: 包括一第一部分及一第二部分之一第一間隔層,其中該第一間隔層的該第一部分設置在該第二介電材料的該第一側壁上,且該第一間隔層的該第二部分設置在該第一介電材料上;及 設置在該第一間隔層的該第二部分上之一第二間隔層,其中在該第一間隔層的該第一部分與該第二間隔層之間形成一氣隙。
  10. 如請求項9所述之半導體元件結構,其中該導電結構包括一第二側壁。
  11. 如請求項10所述之半導體元件結構,其中該第二間隔層及該第一間隔層的該第二部分與該第二側壁接觸。
  12. 如請求項9所述之半導體元件結構,其中該氣隙具有約0.5nm至約6nm範圍之一寬度。
  13. 如請求項12所述之半導體元件結構,其中該氣隙具有約1nm至約39nm範圍之一高度。
  14. 如請求項9所述之半導體元件結構,進一步包括一密封材料,該密封材料設置在該氣隙之上且在該第一間隔層的該第一部分與該第二間隔層之間。
  15. 如請求項14所述之半導體元件結構,進一步包括一第三介電材料,該第三介電材料設置在該第二介電材料、該圍繞結構、該導電結構、及該密封材料上。
  16. 一種形成半導體元件結構的方法,包括以下步驟: 在一基材之上形成一元件; 在該元件之上形成一導電特徵; 在該元件之上形成一第一介電材料; 在該第一介電材料中形成一開口以暴露該導電特徵,其中該開口是藉由該第一介電材料的一第一側壁所界定; 在該導電特徵及該第一介電材料的該第一側壁上形成一第一間隔層,其中該第一間隔層包括一第一部分及一第二部分,且該第一部分與該第一介電材料的該第一側壁接觸; 在該第一間隔層上形成一犧牲間隔層; 去除該犧牲間隔層的一部分以暴露該第一間隔層的該第二部分,其中該犧牲間隔層的一剩餘部分與該第一間隔層的該第一部分接觸; 在該第一間隔層的該被暴露第二部分及該犧牲間隔層的該剩餘部分上形成一第二間隔層; 在該開口中形成一導電結構,其中該導電結構包括一第二側壁;及 去除該犧牲間隔層的該剩餘部分以形成一氣隙,其中該氣隙圍繞該導電結構的該第二側壁。
  17. 如請求項16所述之方法,其中該形成該導電結構之步驟包括以下步驟:在該開口中形成一第一阻擋層及在該第一阻擋層上形成一第一導電特徵。
  18. 如請求項17所述之方法,其中該形成該導電結構之步驟進一步包括以下步驟:去除該第一阻擋層的一部分及該第一導電特徵的一部分。
  19. 如請求項18所述之方法,其中該形成該導電結構之步驟進一步包括以下步驟:在該第一阻擋層及該第一導電特徵上形成一第二阻擋層,及在該第二阻擋層上形成一第二導電特徵。
  20. 如請求項17所述之方法,進一步包括以下步驟:在該氣隙之上及在該第一間隔層的該第一部分與該第二間隔層之間形成一密封材料。
TW110101821A 2020-07-21 2021-01-18 半導體元件結構 TW202205592A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/935,135 2020-07-21
US16/935,135 US11456246B2 (en) 2020-07-21 2020-07-21 Semiconductor device structure and methods of forming the same

Publications (1)

Publication Number Publication Date
TW202205592A true TW202205592A (zh) 2022-02-01

Family

ID=77358061

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101821A TW202205592A (zh) 2020-07-21 2021-01-18 半導體元件結構

Country Status (5)

Country Link
US (2) US11456246B2 (zh)
EP (1) EP3944293A1 (zh)
JP (1) JP2022021337A (zh)
CN (1) CN113394194A (zh)
TW (1) TW202205592A (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11508615B2 (en) * 2020-07-30 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11374093B2 (en) 2020-11-25 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US20220310441A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Air Spacer Surrounding Conductive Features and Method Forming Same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060097397A1 (en) * 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US8436473B2 (en) * 2009-05-06 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including air gaps around interconnect structures, and fabrication methods thereof
KR102021988B1 (ko) * 2013-03-12 2019-09-17 삼성전자주식회사 반도체 소자 및 그의 제조 방법
KR20170095829A (ko) * 2014-12-23 2017-08-23 인텔 코포레이션 분리된 비아 충전
KR102557400B1 (ko) * 2018-01-17 2023-07-20 삼성전자주식회사 반도체 장치
TWI716818B (zh) * 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
KR102606784B1 (ko) * 2018-07-13 2023-11-28 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
CN110858578B (zh) * 2018-08-23 2021-07-13 联华电子股份有限公司 管芯封环及其制造方法
US11222811B2 (en) * 2019-12-09 2022-01-11 Nanya Technology Corporation Semiconductor device structure with air gap and method for forming the same
US11374093B2 (en) 2020-11-25 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same

Also Published As

Publication number Publication date
EP3944293A1 (en) 2022-01-26
CN113394194A (zh) 2021-09-14
US20220028780A1 (en) 2022-01-27
US20220375850A1 (en) 2022-11-24
JP2022021337A (ja) 2022-02-02
US11456246B2 (en) 2022-09-27
US11948879B2 (en) 2024-04-02

Similar Documents

Publication Publication Date Title
US11721590B2 (en) Semiconductor device and method
US11948879B2 (en) Semiconductor device structure and methods of forming the same
CN113471198A (zh) 半导体元件
US20220336449A1 (en) Semiconductor device structure and methods of forming the same
US11735482B2 (en) Semiconductor device structure and methods of forming the same
US20230352340A1 (en) Semiconductor device structure and methods of forming the same
US20230260900A1 (en) Interconnect structures and methods of fabrication thereof
US20220359267A1 (en) Semiconductor device structure and methods of forming the same
CN115566044A (zh) 半导体装置结构
TW202129772A (zh) 半導體結構之製造方法
CN113161287A (zh) 互连结构及其形成方法
US11756995B2 (en) Method of forming a semiconductor device structure having an isolation layer to isolate a conductive feature and a gate electrode layer
US11756884B2 (en) Interconnection structure and methods of forming the same
US20240030318A1 (en) Semiconductor device structure and methods of forming the same
US20230062026A1 (en) Semiconductor device structure and methods of forming the same
US20220352015A1 (en) Glue layer etching for improving device performance and providing contact isolation