CN110337714A - 用以减少电弧的氦气插塞设计 - Google Patents

用以减少电弧的氦气插塞设计 Download PDF

Info

Publication number
CN110337714A
CN110337714A CN201880013451.5A CN201880013451A CN110337714A CN 110337714 A CN110337714 A CN 110337714A CN 201880013451 A CN201880013451 A CN 201880013451A CN 110337714 A CN110337714 A CN 110337714A
Authority
CN
China
Prior art keywords
substrate
ceramic layer
gap
channel
room
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880013451.5A
Other languages
English (en)
Other versions
CN110337714B (zh
Inventor
郝芳莉
付越虹
陈志刚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rum Research Corp
Original Assignee
Rum Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rum Research Corp filed Critical Rum Research Corp
Publication of CN110337714A publication Critical patent/CN110337714A/zh
Application granted granted Critical
Publication of CN110337714B publication Critical patent/CN110337714B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Spark Plugs (AREA)
  • Separation By Low-Temperature Treatments (AREA)
  • Other Investigation Or Analysis Of Materials By Electrical Means (AREA)
  • Thermal Sciences (AREA)

Abstract

一种衬底支撑件包括:基板;陶瓷层,其布置在所述基板上;结合层,其布置在所述基板和所述陶瓷层之间的第一间隙中;通道,其穿过所述基板、所述结合层和所述陶瓷层形成;和插塞,其布置在所述通道中。所述插塞包括布置在所述基板中的下部和布置在所述陶瓷层中的上部。所述下部包括凹穴和围绕所述凹穴的侧壁。所述上部在所述陶瓷层和所述第一间隙下方延伸到所述凹穴中,所述下部的所述侧壁与所述上部重叠,以及所述上部和所述下部之间的第二间隙位于所述第一间隙下方的所述下部的所述凹穴内。

Description

用以减少电弧的氦气插塞设计
相关申请的交叉引用
本申请要求于2017年2月22日提交的美国专利申请No.15/439,109的优先权。本公开通过主题涉及于2017年1月5日提交的美国专利申请No.15/399,244和2017年3月8日提交的美国专利申请No.15/452,976。上述申请的全部公开内容通过引用并入本文。
技术领域
本公开涉及衬底处理系统中的衬底支撑件的陶瓷层。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分以及在提交申请时不能确定为现有技术的描述的各方面中描述的范围内的当前指定的发明人的工作既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统可用于处理诸如半导体晶片之类的衬底。可以在衬底上执行的示例性处理包括但不限于化学气相沉积(CVD)、原子层沉积(ALD)、导体蚀刻、电介质蚀刻和/或其他蚀刻、沉积或清洁工艺。衬底可以布置在衬底处理系统的处理室中的衬底支撑件上,衬底支撑件例如基座、静电卡盘(ESC)等。在蚀刻期间,可以将包括一种或多种前体的气体混合物引入处理室,并且可以使用等离子体来引发化学反应。
衬底支撑件可包括布置成支撑衬底的陶瓷层。例如,可以在处理期间将衬底夹持到陶瓷层。衬底支撑件可包括多个通道,以将传热气体(例如,氦气)提供到布置在陶瓷层上的衬底的背面。传热气体有助于冷却衬底和/或陶瓷层。
发明内容
一种衬底支撑件包括:基板;陶瓷层,其布置在所述基板上;结合层,其布置在所述基板和所述陶瓷层之间的第一间隙中;通道,其穿过所述基板、所述结合层和所述陶瓷层形成;和插塞,其布置在所述通道中。所述插塞包括布置在所述基板中的下部和布置在所述陶瓷层中的上部。所述下部包括凹穴和围绕所述凹穴的侧壁。所述上部在所述陶瓷层和所述第一间隙下方延伸到所述凹穴中,所述下部的所述侧壁与所述上部重叠,以及所述上部和所述下部之间的第二间隙位于所述第一间隙下方的所述下部的所述凹穴内。
一种衬底处理系统包括:衬底支撑件;传热气体源;和控制器。所述衬底支撑包括基板;陶瓷层,其布置在所述基板上;结合层,其布置在所述基板和所述陶瓷层之间的第一间隙中;多个通道,其穿过所述基板、所述结合层和所述陶瓷层形成,所述多个通道与所述传热气体源流体连通;和多个插塞,所述多个插塞布置在所述多个通道中的相应通道中。所述多个插塞中的每一个包括布置在所述基板中的下部和布置在所述陶瓷层中的上部。所述下部包括凹穴和围绕所述凹穴的侧壁。所述上部在所述陶瓷层和所述第一间隙下方延伸到所述凹穴中,所述下部的所述侧壁与所述上部重叠,以及所述上部和所述下部之间的第二间隙位于所述第一间隙下方的所述下部的所述凹穴内。所述控制器选择性地控制所述传热气体源,以经由所述多个通道向布置在所述陶瓷层上的衬底的背面提供传热气体。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1是一个示例性衬底支撑件,其包括布置在传热气体通道内的插塞;
图2是一个示例性处理室的功能框图;
图3是一个示例性衬底支撑件,其包括布置在传热气体通道内的根据本发明的第一示例性插塞;和
图4是一个示例性衬底支撑件,其包括布置在传热气体通道内的根据本发明的第二示例性插塞。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
衬底处理系统中的衬底支撑件可包括多个通道,以将传热气体提供到布置在衬底支撑件上的衬底或晶片的背面。例如,通道使来自传热气体源的传热气体穿过衬底支撑件的基板和陶瓷层。与衬底支撑件的部件相关的制造公差和其他制造限制可能导致通道在基板和陶瓷层之间的界面处具有更大的直径和体积。因此,等离子体点亮和电弧放电会更可能发生在基板和陶瓷层之间的间隙中,从而引起电流尖峰和结合层的腐蚀。
图1示出了包括基板14和陶瓷层18的示例性衬底支撑件10的一部分。可以在基板14和陶瓷层18之间形成结合层22。可以在陶瓷层18和基板14之间的结合层22的周边周围提供保护性密封件26。衬底30布置在陶瓷层18上。
一个或多个通道34使传热气体(例如氦气)通过基板14和陶瓷层18到达衬底30的背面38。通道34可包括限定在基板14和陶瓷层18之间的室42。例如,虽然可能希望使通道34的直径最小化,但是制造公差和限制可能导致包括室42的通道34。因此,可以在室42内提供插塞46以进一步减小室42内的空体积。例如,插塞46可包括多孔陶瓷。插塞46可以包括上部50、下部54、以及在上部50和下部54之间的间隙58。插塞46可以被称为双多孔插塞。在将插塞的上部50安装在陶瓷层18内之后,可以研磨陶瓷层18的底表面60,从而增大间隙58的宽度。例如,间隙58可以具有大约300μm(例如,在290μm和310μm之间)的宽度。提供插塞46可以减少室42内以及在基板14和陶瓷层18之间的电弧放电。尽管如此,电场仍然可以存在于间隙58中并且电弧可以发生在间隙58中。
根据本公开的原理的系统和方法实现了消除在基板和陶瓷层之间的界面处的间隙的插塞。例如,插塞包括上部,该上部从陶瓷层向下延伸并进入基板中的通道。插塞包括布置在基板中的通道中的下部。该下部构造成容纳并围绕延伸到基板中的插塞的上部。
现在参考图2,示出了示例性衬底处理系统100。仅举例而言,衬底处理系统100可以用于执行使用RF等离子体的蚀刻和/或用于执行其他合适的衬底处理。衬底处理系统100包括处理室102,处理室102包围衬底处理系统100的其他部件并包含RF等离子体。衬底处理室102包括上电极104和衬底支撑件106,例如静电卡盘(ESC)。在操作期间,衬底108布置在衬底支撑件106上。虽然作为示例示出了特定衬底处理系统100和室102,但是本公开的原理可以应用于其他类型的衬底处理系统和室,例如原位产生等离子体的衬底处理系统、实现远程等离子体产生和输送(例如,使用等离子体管、微波管)的衬底处理系统等等。
仅举例而言,上电极104可包括气体分配装置,例如喷头109,其引入和分配处理气体。喷头109可包括杆部,杆部包括连接到处理室的顶部表面的一端。基部部分通常为圆柱形,并且在与处理室的顶部表面间隔开的位置处从杆部的相对端径向向外延伸。喷头的基部部分的面向衬底的表面或面板包括让处理气体或吹扫气体流过的多个孔。替代地,上电极104可包括导电板,并且可以以另一种方式引入处理气体。
衬底支撑件106包括用作下电极的导电基板110。基板110支撑陶瓷层112。在一些示例中,陶瓷层112可包括加热层,例如陶瓷多区加热板。热阻层114(例如,结合层)可以布置在陶瓷层112和基板110之间。基板110可以包括用于使冷却剂流过基板110的一个或多个冷却剂通道116。衬底支撑件106可包括边缘环118,边缘环118布置成围绕衬底108的外周边。
RF产生系统120产生RF电压并将RF电压输出到上电极104和下电极(例如,衬底支撑件106的基板110)中的一个。上电极104和基板110中的另一个可以是DC接地的、AC接地的或浮动的。仅举例而言,RF产生系统120可以包括RF电压产生器122,其产生RF电压,该RF电压由匹配和分配网络124馈送到上电极104或基板110。在其他示例中,可以感应或远程生成等离子体。尽管如为了示例目的所示出的,RF产生系统120对应于电容耦合等离子体(CCP)系统,但是本公开的原理也可以在其他合适的系统中实现,例如,仅举例而言,在变压器耦合等离子体(TCP)系统、CCP阴极系统、远程微波等离子体产生和输送系统等中实现。
气体输送系统130包括一个或多个气体源132-1、132-2、…和132-N(统称为气体源132),其中N是大于零的整数。气体源提供一种或多种前体及其混合物。气体源还可以供应吹扫气体。也可以使用汽化的前体。气体源132通过阀134-1、134-2、…和134-N(统称为阀134)和质量流量控制器136-1、136-2、…和136-N(统称为质量流量控制器136)与歧管140连接。歧管140的输出被供给到处理室102。仅举例而言,歧管140的输出被供给到喷头109。
温度控制器142可以连接到多个加热元件,例如布置在陶瓷层112中的热控制元件(TCE)144。例如,加热元件144可以包括但不限于对应于多区域加热板中的各个区域的大加热元件和/或跨多区域加热板的多个区域设置的微加热元件阵列。温度控制器142可以用于控制多个加热元件144,以控制衬底支撑件106和衬底108的温度。根据本公开的原理的每个加热元件144包括具有正TCR的第一材料和具有负TCR的第二材料,如下面更详细地描述的。
温度控制器142可以与冷却剂组件146连通以控制流过通道116的冷却剂流。例如,冷却剂组件146可以包括冷却剂泵和贮存器。温度控制器142操作冷却剂组件146以选择性地使冷却剂流过通道116以冷却衬底支撑件106。
阀150和泵152可用于从处理室102排空反应物。系统控制器160可用于控制衬底处理系统100的部件。机械手170可用于将衬底输送到衬底支撑件106上,和从衬底支撑件106去除衬底。例如,机械手170可以在衬底支撑件106和加载锁172之间传送衬底。虽然温度控制器142示出为单独的控制器,但是温度控制器142可以在系统控制器160内实现。在一些示例中,可以在陶瓷层112和基板110之间,在结合层114的外周边周围提供保护性密封件176。
衬底支撑件106包括多个通道180,所述多个通道180布置成将来自传热气体源182的传热气体(例如氦气)提供到衬底108的背面。虽然单独示出,但传热气体源182可以在气体传输系统130中实现。如下面更详细地描述的,通道180包括根据本公开的原理的插塞184。
现在参考图3,根据本发明原理的示例性衬底支撑件200包括基板204和陶瓷层208。可在基板204和陶瓷层208之间形成结合层212。可在结合层212的周边周围、在陶瓷层208和基板204之间提供保护性密封件216。衬底220布置在陶瓷层208上。
一个或多个通道224使传热气体(例如氦气)通过基板204和陶瓷层208到达衬底220的背面228。通道224可包括限定在基板204和衬底220之间的室232。提供插塞236以占据室232内的空间(即,以减小室232内的空体积)。例如,插塞236可包括介电材料,例如多孔陶瓷。仅举例而言,插塞236可包括多孔材料,其有效介电常数εr约为5.3(例如,εr介于4.6和6.0之间)。插塞236可以具有与室232的形状互补的形状。例如,插塞236可以是圆柱形的。
插塞236可对应于双多孔插塞,其包括上部240、下部244和在上部240与下部244之间的间隙248。上部240从陶瓷层208向下延伸并且穿过在陶瓷层208和基板204之间的间隙252进入基板204内。例如,插塞236的下部244包括构造成接收上部240的凹穴256。下部244的侧壁260围绕上部240的底端264。侧壁260可以在基板204的上表面268上方延伸到间隙252中。
因此,下部244与上部240重叠,并且上部240和下部244之间的间隙248相对于间隙252向下移动。换言之,间隙248位于基板204内,在基板204的上表面268下方,而不是位于间隙252内并且/或者与间隙252对齐,并且如箭头272所示,该间隙内跨越通道224的路径被中断。如图所示,上部240延伸到基板204中,进入深度至少为在上表面268和室232的底部276之间的深度d的一半。下部244可以在上表面268上方延伸。
在将上部240安装在陶瓷层208内之前,可以研磨陶瓷层208的底表面280。因此,研磨底表面280不会减小上部240的长度并且间隙248被最小化。例如,间隙248可以具有250μm的宽度。仅举例而言,间隙248具有240μm至260μm的宽度。根据本公开的原理,使间隙258相对于间隙252向下移动并且减小间隙248的宽度减小了间隙248中的电场。因此,可以减小间隙248内的电弧。在一些示例中,上部240和/或下部244可以用介电材料(例如,陶瓷)喷涂以进一步减小间隙248的宽度。
图4示出了衬底支撑件200的另一示例。相对于图3中所示的上部240,在该示例中,上部240延伸超过上表面268进一步向下进入基板204和下部244内。例如,上部240延伸到基板204中,进入深度至少为在上表面268和室232的底部276之间的深度d的75%。因此,上部240与下部244的侧壁260重叠的量相对于图3中所示的示例增加,并且间隙248进一步朝向室232的底部276向下移动。下部244的上表面284可以与基板204的上表面268大致共面(即齐平)。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个,可以在任何其它实施方式的特征中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的加载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (20)

1.一种衬底支撑件,其包括:
基板;
陶瓷层,其布置在所述基板上;
结合层,其布置在所述基板和所述陶瓷层之间的第一间隙中;
通道,其穿过所述基板、所述结合层和所述陶瓷层形成;和
插塞,其布置在所述通道中,所述插塞包括
布置在所述基板中的下部,其中所述下部包括凹穴和围绕所述凹穴的侧壁;和
布置在所述陶瓷层中的上部,其中(i)所述上部在所述陶瓷层和所述第一间隙下方延伸到所述凹穴中,(ii)所述下部的所述侧壁与所述上部重叠,以及(iii)所述上部和所述下部之间的第二间隙位于所述第一间隙下方的所述下部的所述凹穴内。
2.根据权利要求1所述的衬底支撑件,其中所述插塞包括多孔陶瓷。
3.根据权利要求1所述的衬底支撑件,其还包括限定在所述衬底和所述陶瓷层之间的室,其中所述室的宽度大于所述通道的宽度。
4.根据权利要求3所述的衬底支撑件,其中所述上部在所述基板的上表面下方延伸第一距离,其中所述第一距离对应于所述基板的上表面与所述室的底部之间的深度的至少一半。
5.根据权利要求4所述的衬底支撑件,其中,所述第一距离对应于所述基板的所述上表面与所述室的底部之间的所述深度的至少75%。
6.根据权利要求1所述的衬底支撑件,其中所述第二间隙的宽度小于260μm。
7.根据权利要求1所述的衬底支撑件,其中所述下部的所述侧壁在所述基板的上表面上方延伸并进入所述第一间隙内。
8.根据权利要求1所述的衬底支撑件,其中所述下部的上表面与所述基板的上表面大致共面。
9.根据权利要求1所述的衬底支撑件,其中所述通道与传热气体源流体连通。
10.一种系统,其包括根据权利要求9所述的衬底支撑件,并且还包括所述传热气体源,其中所述传热气体源包含氦气。
11.根据权利要求10所述的系统,其还包括控制器,所述控制器选择性地控制所述传热气体源以将所述氦气经由所述通道提供到布置在所述陶瓷层上的衬底的背面。
12.根据权利要求1所述的衬底支撑件,其还包括多个所述通道和多个所述插塞,所述多个所述插塞布置在所述多个所述通道中的相应通道中。
13.一种衬底处理系统,其包括:
衬底支撑件;
传热气体源;和
控制器,
其中所述衬底支撑包括
基板;
陶瓷层,其布置在所述基板上;
结合层,其布置在所述基板和所述陶瓷层之间的第一间隙中;
多个通道,其穿过所述基板、所述结合层和所述陶瓷层形成,其中所述多个通道与所述传热气体源流体连通,和
多个插塞,所述多个插塞布置在所述多个通道中的相应通道中,所述多个插塞中的每一个包括
布置在所述基板中的下部,其中所述下部包括凹穴和围绕所述凹穴的侧壁;和
布置在所述陶瓷层中的上部,其中(i)所述上部在所述陶瓷层和所述第一间隙下方延伸到所述凹穴中,(ii)所述下部的所述侧壁与所述上部重叠,以及(iii)所述上部和所述下部之间的第二间隙位于所述第一间隙下方的所述下部的所述凹穴内,
并且其中所述控制器选择性地控制所述传热气体源,以经由所述多个通道向布置在所述陶瓷层上的衬底的背面提供传热气体。
14.根据权利要求13所述的衬底处理系统,其中所述插塞包括多孔陶瓷。
15.根据权利要求13所述的衬底处理系统,其还包括限定在所述基板和所述陶瓷层之间的多个室,其中所述多个室中的每个室的相应宽度大于所述通道的宽度。
16.根据权利要求15所述的衬底处理系统,其中所述上部在所述基板的上表面下方延伸第一距离,其中所述第一距离对应于所述基板的上表面与所述多个室中的相应的室的底部之间的深度的至少一半。
17.根据权利要求16所述的衬底处理系统,其中,所述第一距离对应于所述基板的所述上表面与所述多个室中的所述相应的室的底部之间的所述深度的至少75%。
18.根据权利要求13所述的衬底处理系统,其中所述第二间隙的宽度小于260μm。
19.根据权利要求13所述的衬底处理系统,其中所述下部的所述侧壁在所述基板的上表面上方延伸并进入所述第一间隙内。
20.根据权利要求13所述的衬底处理系统,其中所述下部的上表面与所述基板的上表面大致共面。
CN201880013451.5A 2017-02-22 2018-02-21 一种衬底支撑件和衬底处理系统 Active CN110337714B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/439,109 US10741425B2 (en) 2017-02-22 2017-02-22 Helium plug design to reduce arcing
US15/439,109 2017-02-22
PCT/US2018/018916 WO2018156556A1 (en) 2017-02-22 2018-02-21 Helium plug design to reduce arcing

Publications (2)

Publication Number Publication Date
CN110337714A true CN110337714A (zh) 2019-10-15
CN110337714B CN110337714B (zh) 2023-12-01

Family

ID=63167939

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880013451.5A Active CN110337714B (zh) 2017-02-22 2018-02-21 一种衬底支撑件和衬底处理系统

Country Status (5)

Country Link
US (1) US10741425B2 (zh)
KR (1) KR102521717B1 (zh)
CN (1) CN110337714B (zh)
TW (1) TWI775814B (zh)
WO (1) WO2018156556A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112908919A (zh) * 2019-12-04 2021-06-04 中微半导体设备(上海)股份有限公司 静电吸盘装置及包括该静电吸盘装置的等离子体处理装置
CN114326229A (zh) * 2022-01-06 2022-04-12 重庆臻宝实业有限公司 一种可有效防止Arcing的下部电极结构及其安装方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
JP6994981B2 (ja) * 2018-02-26 2022-01-14 東京エレクトロン株式会社 プラズマ処理装置及び載置台の製造方法
US10896837B2 (en) * 2018-10-01 2021-01-19 Lam Research Corporation Ceramic foam for helium light-up suppression
JP7083923B2 (ja) * 2019-01-24 2022-06-13 京セラ株式会社 静電チャック
JP7291046B2 (ja) * 2019-09-18 2023-06-14 新光電気工業株式会社 基板固定装置
US11551960B2 (en) 2020-01-30 2023-01-10 Applied Materials, Inc. Helical plug for reduction or prevention of arcing in a substrate support

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0843347A2 (en) * 1996-11-13 1998-05-20 Applied Materials, Inc. Method and apparatus for processing a semiconductor substrate
US20020036881A1 (en) * 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
WO2002037541A2 (en) * 2000-11-01 2002-05-10 Applied Materials, Inc. Etch chamber for etching dielectric layer with expanded process window
US20050251990A1 (en) * 2004-05-12 2005-11-17 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
CN101110381A (zh) * 2006-07-20 2008-01-23 应用材料股份有限公司 利用快速温度梯度控制处理衬底
US20100109263A1 (en) * 2008-11-06 2010-05-06 Seok Yul Jun Electrostatic chuck having reduced arcing
EP2460179A2 (en) * 2009-07-30 2012-06-06 LAM Research Corporation Light-up prevention in electrostatic chucks
US20160276198A1 (en) * 2013-03-29 2016-09-22 Toto Ltd. Electrostatic chuck

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3017749A1 (de) 1980-05-09 1981-11-12 Artur Dr.H.C. 7244 Waldachtal Fischer Befestigungsvorrichtung fuer die befestigung von sanitaergegenstaenden
US6395363B1 (en) 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
KR100505035B1 (ko) 2003-11-17 2005-07-29 삼성전자주식회사 기판을 지지하기 위한 정전척
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
JP4905375B2 (ja) 2008-01-30 2012-03-28 住友電気工業株式会社 ウエハ保持体の支持構造
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
KR101413764B1 (ko) 2008-10-22 2014-07-02 주식회사 뉴파워 프라즈마 서셉터 어셈블리
JP5262878B2 (ja) 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
KR101902349B1 (ko) * 2012-02-08 2018-09-28 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치
US10211046B2 (en) 2013-07-19 2019-02-19 Applied Materials, Inc. Substrate support ring for more uniform layer thickness
JP6432474B2 (ja) 2014-03-27 2018-12-05 Toto株式会社 静電チャック
JP6375163B2 (ja) 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0843347A2 (en) * 1996-11-13 1998-05-20 Applied Materials, Inc. Method and apparatus for processing a semiconductor substrate
US20020036881A1 (en) * 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
WO2002037541A2 (en) * 2000-11-01 2002-05-10 Applied Materials, Inc. Etch chamber for etching dielectric layer with expanded process window
US20050251990A1 (en) * 2004-05-12 2005-11-17 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
CN101110381A (zh) * 2006-07-20 2008-01-23 应用材料股份有限公司 利用快速温度梯度控制处理衬底
US20100109263A1 (en) * 2008-11-06 2010-05-06 Seok Yul Jun Electrostatic chuck having reduced arcing
EP2460179A2 (en) * 2009-07-30 2012-06-06 LAM Research Corporation Light-up prevention in electrostatic chucks
US20160276198A1 (en) * 2013-03-29 2016-09-22 Toto Ltd. Electrostatic chuck

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112908919A (zh) * 2019-12-04 2021-06-04 中微半导体设备(上海)股份有限公司 静电吸盘装置及包括该静电吸盘装置的等离子体处理装置
CN114326229A (zh) * 2022-01-06 2022-04-12 重庆臻宝实业有限公司 一种可有效防止Arcing的下部电极结构及其安装方法
CN114326229B (zh) * 2022-01-06 2022-09-20 重庆臻宝实业有限公司 一种可有效防止Arcing的下部电极结构及其安装方法

Also Published As

Publication number Publication date
US10741425B2 (en) 2020-08-11
TW201842610A (zh) 2018-12-01
CN110337714B (zh) 2023-12-01
TWI775814B (zh) 2022-09-01
KR20190112167A (ko) 2019-10-02
US20180240688A1 (en) 2018-08-23
KR102521717B1 (ko) 2023-04-13
WO2018156556A1 (en) 2018-08-30

Similar Documents

Publication Publication Date Title
CN110337714A (zh) 用以减少电弧的氦气插塞设计
JP7453149B2 (ja) セラミックベースプレートを備えるマルチプレート静電チャック
JP6739940B2 (ja) 埋め込み電極を伴うガス分配セラミック板
CN110168714A (zh) 改进工艺均匀性的衬底支撑件
CN105632914A (zh) 在半导体衬底处理装置中均匀处理半导体衬底的注气法
CN107591355B (zh) 具有防止电弧和点火并改善工艺均匀性的特征的静电卡盘
CN110277293A (zh) 用于等离子体处理中均匀性控制的锥形上电极
CN107393797B (zh) 包括具有高纯sp3键的cvd金刚石涂层的边缘环的部件
CN110383454A (zh) 底部边缘环和中部边缘环
CN109844176A (zh) 带有小间隙的销升降器组件
CN107426837A (zh) 层压加热器与加热器电压输入之间的连接
CN108091592A (zh) 平坦衬底边缘与开放体积接触的平衡途径和侧封
CN110506326A (zh) 可移动的边缘环设计
CN110537241A (zh) 使用同时存在的原位和远程等离子体源进行快速室清洁
TW202044458A (zh) 具有陶瓷單體的靜電卡盤
US11133211B2 (en) Ceramic baseplate with channels having non-square corners
TWI811228B (zh) 基板支座、以及用於基板支座的纜線與濾波系統
TW202136573A (zh) 具有整合式rf濾波器的基板支撐件
CN110352481A (zh) 无螺栓衬底支撑件组件
KR102510611B1 (ko) 저 압축 응력, 고 막 (film) 안정성 및 저 수축성을 가진 두꺼운 테트라에틸 오르토실리케이트 막을 고 증착 레이트로 증착하기 위한 방법
TW202140840A (zh) 用於溝槽輪廓最佳化的多區氣體分配板

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant