CN110249407A - 在等离子体反应器中用于可调节工件偏压的系统 - Google Patents

在等离子体反应器中用于可调节工件偏压的系统 Download PDF

Info

Publication number
CN110249407A
CN110249407A CN201880009994.XA CN201880009994A CN110249407A CN 110249407 A CN110249407 A CN 110249407A CN 201880009994 A CN201880009994 A CN 201880009994A CN 110249407 A CN110249407 A CN 110249407A
Authority
CN
China
Prior art keywords
pulse
voltage source
pulse voltage
workpiece
voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880009994.XA
Other languages
English (en)
Inventor
T·高
P·A·克劳斯
L·多尔夫
P·古帕拉加
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110249407A publication Critical patent/CN110249407A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32045Circuits specially adapted for controlling the glow discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文提供了在等离子体反应器中用于可调节工件偏压的系统和方法。在一些实施例中,系统包含:等离子体腔室,所述等离子体腔室执行工件上的等离子体处理;第一脉冲电压源,所述第一脉冲电压源直接耦合至工件;第二脉冲电压源,所述第二脉冲电压源电容性地耦合至所述工件;以及偏压控制器,所述偏压控制器基于所述第一脉冲电压源和所述第二脉冲电压源的一个或更多个参数来独立地控制所述第一脉冲电压源和所述第二脉冲电压源,以便裁制被引导至所述工件的离子通量的离子能量分布。

Description

在等离子体反应器中用于可调节工件偏压的系统
技术领域
本公开的实施例大体涉及在等离子体反应器中用于可调节工件偏压的系统。
背景技术
在蚀刻和化学气相沉积(CVD)处理中通常将离子轰击用作化学和物理处理的活化能量的来源,以处理半导体工件,例如晶片。现今,晶片偏压技术使用射频(RF)偏压技术。这些RF技术一般使用单一频率RF偏压以加速离子植入晶片,而导致跨晶片的离子能量相当知名的分布。然而,在特定离子能量(eV)的离子密度总以已知数量分布,且该分布不是使用RF偏压可调节的。通过小心控制给定离子能量的离子量优化蚀刻处理目前是不可能的,并且处理结果上不同离子能量的效应是未能详细得知的。
因此,发明人提供使处理腔室可调节的系统,以便裁制离子能量以独立地控制最大离子能量以及低离子能量和中离子能量的分布,或者,换言之,在等离子体反应器中用于可调节工件偏压的系统。
发明内容
本文提供了在等离子体反应器中用于可调节工件偏压的系统和方法。在一个实施例中,系统包含:等离子体腔室,所述等离子体腔室执行工件上的等离子体处理;第一脉冲电压源,所述第一脉冲电压源直接耦合至所述工件;第二脉冲电压源,所述第二脉冲电压源电容性地耦合至所述工件;以及偏压控制器,所述偏压控制器基于所述第一脉冲电压源以及所述第二脉冲电压源中的一个或更多个参数来独立地控制所述第一脉冲电压源以及所述第二脉冲电压源,以便裁制被引导至所述工件的离子通量的离子能量分布。所述偏压控制器可以包括一个或更多个处理器和存储器,其中所述存储器包括一组计算机指令,在由所述一个或更多个处理器执行时,所述指令导致所述系统基于所述第一脉冲电压源和所述第二脉冲电压源的一个或更多个参数来独立地控制所述第一脉冲电压源和所述第二脉冲电压源,以便裁制被引导至所述工件的离子通量的离子能量分布。
另一实施例提供了在等离子体腔室中用于可调节工件偏压的方法。所述方法包含以下步骤:由第一脉冲电压源产生高电压,并且在等离子体腔室中将所述高电压耦合至工件;由第二脉冲电压源产生低电压和中电压中的一个或更多个;将所述低电压和中电压中的一个或更多个电容性地耦合至所述工件;以及由偏压控制器根据所述第一脉冲电压源和所述第二脉冲电压源的一个或更多个参数来发出所述高电压和所述低电压和中电压中的一个或更多个的脉冲,以裁制所述工件中的离子分布。
在又一实施例中,用于可调节工件偏压的系统包含:等离子体腔室,所述等离子体腔室执行工件上的等离子体处理;多个第一脉冲电压源,所述多个第一脉冲电压源中的每一个单独地耦合至一个或更多个销,所述一个或更多个销与所述等离子体腔室的基座电绝缘,所述一个或更多个销在所述等离子体腔室中直接耦合至所述工件;第二脉冲电压源,所述第二脉冲电压源电容性地耦合至所述工件;以及偏压控制器,所述偏压控制器基于所述第一脉冲电压源以及所述第二脉冲电压源的一个或更多个参数来独立地控制所述第一脉冲电压源和所述第二脉冲电压源,以便裁制被引导至所述工件的离子通量的离子能量分布。
下文描述了本公开的其他及进一步的实施例。
附图说明
可以通过参考附图中描绘的本公开的说明性实施例来理解本公开的实施例(简短总结如上并且将在下方更详细论述)。然而,附图仅图示本公开的典型的实施例,因此不考虑限制其范围,因为本公开可以允许其他等效实施例。
图1是根据本公开的示例性实施例图示用于可调节工件偏压的系统的框图;
图2是根据本公开的示例性实施例的偏压控制器的框图;
图3是根据本公开的示例性实施例的由图1的设备产生的离子分布曲线的示例;
图4是根据本公开的示例性实施例的表示裁制在等离子体腔室中的跨半导体工件的离子分布的方法的流程图;
图5图示根据本公开的示例性实施例的由成形偏压波形产生器所发射并且耦合至等离子体腔室的成形脉冲偏压波形;
图6图示根据本公开的示例性实施例的由脉冲DC源所发射的循环波形;
图7是根据本公开的示例性实施例的用于可调节工件偏压的系统的另一个实施例的框图;并且
图8是根据本公开的示例性实施例的用于可调节工件偏压的系统的另一个实施例的框图。
为了便于理解,尽可能使用相同附图标记,以标示附图中共同的相同组件。附图不依尺寸绘制并且可以为了清晰而简化。一个实施例的组件和特征可以有利地并入其他实施例,而无须进一步叙述。
具体实施方式
本文提供了在等离子体反应器中用于可调节工件偏压的方法和设备的实施例。在一些实施例中,在等离子体反应器中用于可调节工件偏压的系统包含:高电压脉冲DC源(第一脉冲电压源),耦合至等离子体反应器;第二脉冲电压源,通过线网格供应低电压和中电压,该线网格嵌入等离子体反应器的静电卡盘中。通过组合高电压脉冲DC源以及用于低电压和中电压的第二脉冲电压源,离子能量分布可以通过偏移高电压脉冲DC源来控制,以产生具有自1,000eV至10,000eV的范围中的能量的离子群集,同时可以调节较低离子能量以形成0eV至1,500eV的范围中的离子能量的尖峰或均匀带。由此,在低能量范围和高能量范围中裁制离子能量分布以给予期望的离子能量分布。
图1是根据本公开的示例性实施例的图示用于可调节工件偏压的系统100的框图。
在各种实施例中,图1的系统100可以包括等离子体处理腔室(例如,可以从加州圣克拉拉的应用材料公司取得的ADVANTEDGETM MESATM以及处理腔室或其他处理腔室)的部件。
系统100包括等离子体腔室102、静电卡盘104、冷却基座106、卡盘网格108、脉冲DC源120(或脉冲源)、成形偏压波形产生器130、偏压控制器140、边缘环150以及阳极160。根据示例性实施例,卡盘网格108嵌入静电卡盘104中。静电卡盘104支撑工件,同时冷却基座106支撑静电卡盘104。等离子体腔室102进一步包括多个销122,多个销122的一个末端被设置在冷却基座106上并且另一个末端延伸穿过静电卡盘104以与晶片105接触。
等离子体腔室102通过将晶片105暴露于等离子体101来执行在工件(例如晶片105)上的各种操作和处理。将晶片105放置于等离子体腔室102内部并且将反应气体导入腔室,气体被电磁能量辐射以点火且维持等离子体101。
取决于形成等离子体101的气体的成分,可以采用等离子体101以从晶片105蚀刻特定薄膜,或者可以采用等离子体101以将薄膜层沉积到晶片105上。等离子体101通常具有高离子密度,使得可以在晶片上实现高蚀刻速率或高沉积速率,并且也使得需要较少时间来执行给定的蚀刻处理或沉积处理,因而增加生产量。
由偏压控制器140来维持脉冲DC源120和成形偏压波形产生器130的独立控制。在一些实施例中,偏压控制器140是独立计算机系统,其接收和设定用于脉冲DC源120和成形偏压波形产生器130的参数。
先前已经将脉冲DC源用于针对处理晶片的应用,例如离子植入。对于一些应用(例如半导体中的浅接面形成),使用等离子体掺杂系统。在等离子体掺杂系统中,将半导体晶片放置于用作阴极的传导性平台上。将含有所需掺杂物材料的可离子化气体导入腔室,并且在平台与阳极或腔室壁之间施加高电压脉冲,建立晶片附近的等离子体壳层。所施加的电压使等离子体中的离子跨过等离子体壳层并且植入晶片。在等离子体掺杂系统中,来自脉冲DC源的高电压脉冲使来自等离子体的正电离子加速朝向晶片,并且在等离子体掺杂应用中,植入的深度与晶片和阳极(或腔室壁)之间所施加的电压相关。在美国第5,354,381号和美国第6,020,592号中进一步描述使用脉冲DC源的等离子体掺杂系统。
脉冲DC源120经由销122和阳极160(或替代地,腔室壁)将高电压直接耦合至工件(例如晶片105)。在实施例中,脉冲DC源120以循环波形(例如,在图6中图示的波形600)的形式来产生高电压脉冲,其中该波形的一个周期包括电压非零的第一部分和电压为零的第二部分。在示例性实施例中,第一部分期间的非零电压处于自大约1kV至10kV的范围中。在实施例中,第一部分介于周期持续时间的5%和95%之间;通常表示为循环波形的占空度为5%和95%之间。在实施例中,循环波形的脉冲频率介于100Hz和100,000Hz之间。
在实施例中,波形600的第一部分包括第一非零电压和第二非零电压。在实施例中,第一非零电压和第二非零电压在时间上是连续的。在实施例中,非零电压可以是连续脉冲中的一个或多于一个值。在实施例中,非零电压可以是用于第一数量的周期的一个值以及用于第二数量的周期的第二个值。在实施例中,第一数量的周期和第二数量的周期是重复的。
根据图7中所示的另一实施例,经由销122来进行脉冲DC源至工件的直接接触,但每个销与基座电绝缘并且与每个其他销电绝缘。每个销122单独地连接至独立的脉冲DC源,例如,脉冲DC源702-1、702-2、702-3以及702-4(集体为脉冲DC源702)。而在图8中所图示的另一实施例中,与基座电绝缘的第一组销804连接至第一脉冲DC源802-1,并且与基座电绝缘的第二组销806连接至第二脉冲DC源802-2(集体为脉冲DC源802),使得第一组销与第二组销没有任何公共构件。一般而言,将销耦合至独立脉冲DC源允许整个工件的离子能量分布的局部空间控制,而允许处理系统中针对其他非均匀性的调整。也可以针对来自先前处理而存在于晶片上的非均匀性来调整系统,或针对后续处理中预期的非均匀性而调整。在这种实施例中,脉冲DC源对每个销的独立控制补偿了晶片边缘处的边缘效应。
在图7和图8中所描述的实施例中,成形偏压波形产生器130为可选的,并且脉冲DC源702和802通过偏压控制器140控制跨每个源之间的电压来提供经裁制的离子分布。可以包含成形偏压波形产生器130以增强整个工件的离子分布的裁制,或用于工件的特定特征中的离子植入。
成形偏压波形产生器130直接耦合至卡盘网格108,但在一些实施例中,成形偏压波形产生器130可以耦合至功率电极113。通过将波形偏压(例如图6中所示)施加至卡盘网格108,跨卡盘电容的电压降太小,使得在施加偏压脉冲期间的任何时间在工件(例如,晶片105)表面处可测量的电压幅度实质接近该脉冲的电压幅度(即,不会变化多于0至0.5%)。
卡盘网格108电容性地耦合至晶片105。成形偏压波形产生器130供应在0kV至1.5kV(例如,低电压至中电压)的示例性范围内的脉冲电压。在一些实施例中,成形偏压波形产生器130经由卡盘网格108向晶片105提供恒定或连续电压,同时在其他实施例中,成形偏压波形产生器130经配置以提供电压斜坡,允许宽带离子能量分布。在宽带离子能量分布中,离子在从第一离子能量至第二离子能量的连续范围中获得能量,其中第二离子能量大于第一离子能量。在实施例中,第一离子能量被定义为小于加速离子(由于成形偏压波形产生器130的耦合)的能量的95%的能量。在一些实施例中,第二离子能量被定义为大于加速离子(由于成形偏压波形产生器130的耦合)的能量的95%的能量。根据一个实施例,第一离子能量为10eV并且第二离子能量为3000eV。
在实施例中,来自脉冲DC源120的高电压循环波形可以在从100Hz和100,000Hz的脉冲频率中变化,同时根据一些实施例,成形偏压波形产生器130发出400kHz的脉冲。在一些实施例中,在来自脉冲DC源120的DC电压为零时,从成形偏压波形产生器130发射的脉冲在DC脉冲周期的第二部分期间发生。
偏压控制器140通过将来自脉冲DC源120的在DC脉冲周期的第一部分期间的非零电压设定为自1kV至10kV的任一处来控制离子能量分布,同时可以调节较低离子能量和中度离子能量以形成在0kV至1.5kV的范围内的能量尖峰或带。因此,可以将离子能量分布裁制为在低能量范围、中能量范围、以及高能量范围以给予所需分布。例如,在需要离子到达晶片105中的高长宽比特征的底部的实施例中,这种离子能量分布控制是有利的。一个这种应用为3D-NAND存储器孔洞蚀刻,其中长宽比常规上大于30:1。
在脉冲DC周期的第二部分期间,偏压控制器140经由例如电压探针(或一些其他等效实现)评估来自晶片105的接收电压,并且如果电压从先前读数改变和/或未处于预先确定的电压电平的容差内,偏压控制器140确定将传送至成形偏压波形产生器130的控制信号,以调整成形偏压波形产生器130所提供至卡盘网格108的电压以导致晶片105的电压保持恒定和/或处于预先确定的电压电平的容差内。
在一个实施例中,偏压控制器140实现迭代处理以确定传送至成形偏压波形产生器130的控制信号。例如,在一个实施例中,在确定所接收的电压需要调整之后,偏压控制器140将信号传送至成形偏压波形产生器130,以导致成形偏压波形产生器130供应至卡盘网格108的电压中的调整。在该调整之后,再次通过偏压控制器140来评估晶片105的电压。如果在晶片105处所捕获的电压变得更恒定或更靠近预先确定的电压电平的容差,但仍需要更多调整,偏压控制器140将另一控制信号传送至成形偏压波形产生器130,以导致对成形偏压波形产生器130供应至卡盘网格108的电压在相同方向上的调整。如果在调整之后,在基板处所捕获的电压变得更不恒定或更远离预先确定的电压电平,偏压控制器140将另一控制信号传送至成形偏压波形产生器130,以导致对成形偏压波形产生器130供应至卡盘网格108的电压在相反方向上的调整。这种调整可以持续进行直到基板的电压保持恒定和/或处于预先确定的电压电平的容差内。在一个实施例中,偏压控制器140将来自晶片105的读数的电压信号数字化,并且将该数字化电压信号传送至偏压电源以周期性地调整成形脉冲偏压波形,使得晶片(基板)电压保持恒定和/或处于预先确定的电压电平的容差内。
在其他实施例中,根据本原理,可以使用可选的边缘环150来捕获代表被处理工件(例如,晶片105)处的电压的信号。例如,在一个实施例中且返回参考图3,使用边缘环150以感测代表被处理基板处的电压的电压测量值。在一个实施例中,根据本原理,根据成形偏压波形产生器130直接耦合至功率电极而非卡盘网格108的实施例,边缘环150直接位于卡盘网格108下方并且足够大以与可以与成形偏压波形产生器130耦合的任何功率电极的边缘重叠。因为边缘环150的组成及位置,边缘环150电耦合至被处理工件,以感测被处理工件的电压,该电压处于例如工件处真实电压的5%至7%内。
来自成形偏压波形产生器130的低能量离子和中能量离子补偿来自脉冲DC源120的高能量尖峰并且激励其他有利属性。例如,低能量离子和中能量离子帮助聚合物侧壁沉积,而导致减小深度蚀刻中的弓形并且改进掩模选择性。
图2是根据本公开的示例性实施例的偏压控制器140的框图。
可以通过偏压控制器140来执行用于裁制离子分布的方法和设备的各种实施例。根据一个实施例,偏压控制器140包括一个或更多个CPU 1至N、支持电路204、I/O电路206、以及系统存储器208。系统存储器208可以进一步包括调节参数210以及偏压程序220。可以操作CPU 1至N以执行常驻系统存储器208中的一个或更多个应用程序。可以使用偏压控制器140以实现上述实施例的任何其他系统、装置、组件、功能性或方法。在图示的实施例中,偏压控制器140可以被配置以将方法400(图4)实现为处理器可执行的可执行程序指令。偏压程序220控制脉冲DC源120和成形偏压波形产生器130两者的操作以用于整个晶片105的裁制的离子分布。
在不同实施例中,偏压控制器140可以是各种类型的装置中的任何一种,包含但不限于:个人计算机系统、台式计算机、膝上型计算机、笔记本电脑、或上网本(netbook)计算机、主机计算机系统、手持式计算机、工作站、网络计算机、移动设备例如智能手机或PDA、消费者装置、或一般任何类型的计算或电子装置。
在各种实施例中,偏压控制器140可以是包含一个处理器的单处理器系统,或包含若干个处理器的多处理器系统(例如,两个、四个、八个、或其他合适数量)。CPU 1至N可以是能够执行指令的任何合适的处理器。例如,在各种实施例中,CPU 1至N可以是实现吧任何多样的指令集架构(ISA)的通用或嵌入式处理器。在多处理器系统中,CPU 1至N中的每一个可以通常(但非必要)实现相同的ISA。
系统存储器208可以被配置以存储CPU 1至N可存取的程序指令和/或数据。在各种实施例中,可以使用任何合适的存储器技术(例如,静态随机存取存储器(SRAM)、同步动态RAM(SDRAM)、非易失性/快闪型存储器、或任何其他类型的存储器)来实现系统存储器208。在图示的实施例中,可以将实现上述实施例的任何组件的程序指令和数据存储于系统存储器208内。在其他实施例中,可以在不同类型的计算机可存取介质或与系统存储器208或偏压控制器140分开的相似介质上接收、发送、或存储程序指令和/或数据。
在一个实施例中,I/O电路206可以经配置以协调CPU 1至N、系统存储器208、和装置中的任何周边装置(包含网络接口或其他周边接口,例如输入/输出装置)之间的I/O交流。在一些实施例中,I/O电路206可以执行任何必要协议、时序或其他数据变换以将数据信号从一个部件(例如,系统存储器208)转换成适合另一部件(例如,CPU 1至N)使用的格式。在一些实施例中,I/O电路206可以包含针对经由多种类型的周边总线附接的装置的支持,例如,如外部设备互联(PCI)总线标准或通用串行总线(USB)标准的变体。在一些实施例中,I/O电路206的功能可以被分解成两个或更多个分开的部件,例如,如北桥和南桥。在一些实施例中,I/O电路206的一些或所有功能性(例如,对系统存储器208的接口)也可以直接并入CPU 1至N。
网络接口可以经配置以允许数据在偏压控制器140和附接至网络的其他装置(例如,一个或更多个显示器装置(未示出)、或一个或更多个外部系统)之间或在节点之间交换。在各种实施例中,网络可以包含一个或更多个网络,包含但不限于:局域网络(LAN)(例如,以太网或企业网络)、广域网(WAN)(例如,因特网)、无线数据网络、一些其他电子数据网络、或上述网络的一些组合。在各种实施例中,网络接口可以经由有线或无线通用数据网络(例如任何合适类型的以太网络),经由电信/电话网络(例如模拟声音网络或数字光纤通信网络),经由存储局域网络(例如光纤通道SAN)或经由任何其他合适类型的网络和/或协议来支持通信。
在一些实施例中,输入/输出装置可以包含一个或更多个显示器终端、键盘、按键、触摸板、扫描装置、声音或光学辨识装置、或适于通过一个或更多个偏压控制器140输入或存取数据的任何其他装置。多个输入/输出装置可以存在在或可以分布于偏压控制器140的各种节点上。在一些实施例中,相似的输入/输出装置可以与偏压控制器140分开,并且可以经由有线或无线连接(例如,通过网络接口)与偏压控制器140的一个或更多个节点互动。
在一些实施例中,图示的计算机系统可以实现上述任何方法,例如图4的流程图所示的方法。在其他实施例中,可以包含不同组件及数据。
本领域技术人员将理解:偏压控制器140仅为图示性并且不旨在限制实施例的范围。具体地,计算机系统和装置可以包含可以执行各种实施例的指示功能的硬件或软件的任何组合,包含计算机、网络装置、因特网设备、PDA、无线电话、呼叫器,等等。偏压控制器140也可以连接至其他未图示的装置,或这替代地可以以独立系统操作。此外,在一些实施例中,可以较少部件或在额外部件中分布来组合图示的部件所提供的功能性。相似地,在一些实施例中,可以不提供一些图示部件的功能性和/或可以获得其他额外功能性。
本领域技术人员也将理解:在将各种项目图示成存储在存储器中或使用时处于存储状态时,这些项目或这些项目的部分可以在存储器和其他存储装置之间传输以用于存储器管理和数据完整性的目的。替代地,在其他实施例中,一些或全部的软件部件可以在其他装置上的存储器中执行,并且与图示的计算机系统经由计算机间通信来通信。一些或全部的系统部件或数据结构也可以存储(例如,以指令或结构化数据的形式)于计算机可存取介质或便携式对象上以供适合的驱动器读取,上文已描述各种示例。在一些实施例中,存储于计算机可存取介质上的指令与偏压控制器140分开,这些指令可以经由传输介质或信号(例如电、电磁、或数字信号)传达经由通信介质(例如网络和/或无线链路)传输至偏压控制器140。各种实施例可以进一步包含根据上文描述在计算机可存取介质上实现的或经由通信介质的接收、发送、或存储指令和/或数据。一般而言,计算机可存取介质可以包含存储介质或存储器介质(例如磁性或光学介质),例如光盘或DVD/CD-ROM、易失性或非易失性介质例如RAM(例如,SDRAM、DDR、RDRAM、SRAM,等等)、ROM,等等。
图3时根据本公开的示例性实施例的图1的设备的离子能量分布函数(如图300中所展示)的一个示例。
图300图示第一曲线302和第二曲线304。第一曲线302图示由成形偏压波形产生器130导致的不同的低离子能量和中离子能量的离子的分布。第二曲线304图示在高离子能量的离子分布,示出了来自脉冲DC源120的高能量尖峰。尽管来自上述的成形偏压波形产生器的分布约为正方形,使用本公开中所述可调节偏压的其他分布是可能的,因为成形偏压波形产生器是独立于来自脉冲DC源120的高离子能量尖峰而被控制。
例如,可以将第一曲线302的低端设置为朝向较低离子能量,例如,大约100V,同时将中端设置为朝向较高离子能量,例如,大约800V。在附加实施例中,可以将第一曲线302的低端设置为朝向较低离子能量,例如,大约200V,同时将中端设置为朝向较高离子能量,例如,大约1000V。在又一实施例中,可以将第一曲线302的低端设置为朝向较低离子能量,例如,大约20V,同时将中端设置为朝向较高离子能量,例如,大约500V。
图4是根据本公开的示例性实施例的代表裁制在等离子体腔室中的跨半导体工件的离子分布的方法400。
偏压控制器140是根据本公开案的示例性实施例的方法400的示例性实现。
在402处,偏压控制器开始方法400。在404处,偏压控制器控制脉冲DC源120以产生高电压并且将该高电压与在等离子体腔室中的晶片(例如,等离子体腔室102中的晶片105)耦合。
在406处,偏压控制器控制成形偏压波形产生器130以产生低电压和中电压。在408处,这些低电压和中电压电容性地耦合至晶片。
接着该方法进行到410,其中偏压控制器140替代地发出高电压以及中电压和低电压的脉冲,以裁制被引导朝向工件的离子通量的离子能量分布,使得可以获得高能量离子以到达工件中高的长宽比特征的底部。
方法400在412结束。
图5根据本公开的示例性实施例图示由成形偏压波形产生器130所发射并且耦合至等离子体腔室的成形脉冲偏压波形。
成形偏压波形产生器130将图5中所示的脉冲波形耦合至系统100的卡盘网格108。
为了使成形脉冲偏压起到如所意图的作用,现今数个电容值必须为已知或已被评估。具体地,成形脉冲偏压波形(图5)需要将供应至卡盘网格108的全部电压在静电卡盘104和壳层电荷间分割,该壳层电荷在等离子体与静电卡盘支撑表面或设置于其上的工件之间的空间(称为“空间电荷壳层”或“壳层”)中形成。尽管可以容易地查明静电卡盘电容CCK,已经发现杂散电容(CSTR)和壳层电容(CSH)相对于时间不可预测地变化。例如,杂散电容(CSTR)由等离子体处理腔室内的条件来决定,并且由此,对这种因素(例如,处理腔室部件的热膨胀,等等)时敏感的。
功能上,静电卡盘和壳层充当两个电容器串联,并且因为控制了施加到静电卡盘电容器的电极中的一个电极的输入电压波形,为了确定总施加电压将如何在电容器之间分割以及有多少电压将处于壳层上,两个电容值必须为已知。
可以在不同实施例中以软件、硬件、或其组合来实现本文描述的方法。此外,可以改变方法的顺序,并且可以增加、重新排序、组合、省略或者以其他方式修改各种组件。以非限制方式呈现本文描述的所有示例。可以进行各种修改和改变,对具有本公开的益处的本领域技术人员而言为明显的。在具体实施例的背景中描述了根据实施例的实现。这些实施例为了说明而非限制。本公开的范围内的许多变化、修改、增加、以及改进是可能的。因此,可以针对本文描述的部件提供多个示例作为单个示例。最终,可以将在示例配置中呈现为分离部件的结构和功能性实现为组合的结构或部件。这些和其他变化、修改、增加、以及改进可以落入实施例的范围内,如以下权利要求中所限定。
尽管上文是针对本公开的实施例,可以修改本公开的其他和进一步的实施例而不背离其基本范围。

Claims (15)

1.一种用于可调节工件偏压的系统,包括:
等离子体腔室,所述等离子体腔室执行工件上的等离子体处理;
第一脉冲电压源,所述第一脉冲电压源在所述等离子体腔室中直接耦合至所述工件;
第二脉冲电压源,所述第二脉冲电压源电容性地耦合至所述工件;以及
偏压控制器,所述偏压控制器基于所述第一脉冲电压源和所述第二脉冲电压源的一个或更多个参数来独立地控制所述第一脉冲电压源和所述第二脉冲电压源,以便裁制被引导至所述工件的离子通量的离子能量分布。
2.如权利要求1所述的系统,其中所述第二脉冲电压源输出成形偏压波形。
3.如权利要求1所述的系统,其中所述偏压控制器交替地发出所述第一脉冲电压源和所述第二脉冲电压源的脉冲。
4.如权利要求1所述的系统,其中所述第一脉冲电压源以高电压供应第一脉冲,其中在第一脉冲期间所述高电压的最大值大约在1至10kV的范围中。
5.如权利要求4所述的系统,其中所述第二脉冲电压源供应第二脉冲,所述第二脉冲包括低电压至中电压的连续范围中的一个或更多个电压,其中所述范围在大约0至大约1.5kV中。
6.如权利要求1至5的任一项所述的系统,其中所述等离子体腔室进一步包括:
静电卡盘,所述静电卡盘支撑所述工件;
基座,所述基座支撑所述静电卡盘;
多个销,每一销在一个末端直接耦合至所述基座,且经配置以在另一末端直接耦合至所述工件;以及
卡盘网格,所述卡盘网格嵌入所述静电卡盘内。
7.如权利要求6所述的系统,其中所述第一脉冲电压源耦合至所述基座,并且从所述第一脉冲电压源供应的电压经由所述多个销直接耦合至所述工件。
8.如权利要求7所述的系统,其中所述第二脉冲电压源直接耦合至所述卡盘网格,并且所述卡盘网格经配置以电容性地耦合至所述工件。
9.如权利要求8所述的系统,其中所述偏压控制器经配置以基于在所述工件处所感测的电压来调整所述一个或更多个参数以修改由所述第二脉冲电压源所发射的波形。
10.如权利要求1至5的任一项所述的系统,其中所述等离子体腔室进一步包括:
静电卡盘,所述所述静电卡盘支撑所述工件;
基座,所述基座支撑所述静电卡盘;以及,
卡盘网格,所述卡盘网格嵌入所述静电卡盘内;并且
其中所述第一脉冲电压源进一步包括多个第一脉冲电压源,所述多个第一脉冲电压源中的每一个单独地耦合至一个或多个销,所述一个或多个销与所述基座电隔离,所述一个或多个销在所述等离子体腔室中经配置以直接耦合至所述工件。
11.如权利要求1至5的任一项所述的系统,其中所述第一脉冲电压源以大约100至100,000Hz的脉冲频率来发射高电压脉冲。
12.如权利要求11所述的系统,其中所述第二脉冲电压源以大约400kHz的脉冲频率来发射脉冲。
13.一种在等离子体腔室中用于可调节工件偏压的方法,包括以下步骤:
由第一脉冲电压源产生高电压,并且在等离子体腔室中将所述高电压耦合至工件;
由第二脉冲电压源产生一个或更多个低电压和中电压;
将所述一个或更多个低电压和中电压电容性地耦合至所述工件;以及
由偏压控制器根据所述第一脉冲电压源和所述第二脉冲电压源的一个或更多个参数来发出所述高电压和所述一个或更多个低电压和中电压的脉冲,以裁制所述工件中的离子分布。
14.如权利要求13所述的方法,其中所述偏压控制器接受偏压波形为用于所述第二脉冲电压源的输入,并且其中所述偏压控制器基于在所述工件处所感测的电压调整所述一个或更多个参数以修改由所述第二脉冲电压源发射的波形。
15.如权利要求13所述的方法,其中以下中的至少一项:
所述第一脉冲电压源供应高电压,在脉冲期间所述高电压的最大值大约为1至10kV,并且其中所述第二脉冲电压源供应在低电压至中电压的连续范围中的一个或更多个电压,其中所述范围为大约0至大约1.5kV;或
所述第一脉冲电压源以大约100至100,000Hz的脉冲频率来发射高电压脉冲,并且所述第二脉冲电压源以大约400kHz的脉冲频率来发射脉冲。
CN201880009994.XA 2017-02-03 2018-01-29 在等离子体反应器中用于可调节工件偏压的系统 Pending CN110249407A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/424,405 2017-02-03
US15/424,405 US10373804B2 (en) 2017-02-03 2017-02-03 System for tunable workpiece biasing in a plasma reactor
PCT/US2018/015688 WO2018144374A1 (en) 2017-02-03 2018-01-29 System for tunable workpiece biasing in a plasma reactor

Publications (1)

Publication Number Publication Date
CN110249407A true CN110249407A (zh) 2019-09-17

Family

ID=63037325

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880009994.XA Pending CN110249407A (zh) 2017-02-03 2018-01-29 在等离子体反应器中用于可调节工件偏压的系统

Country Status (6)

Country Link
US (3) US10373804B2 (zh)
JP (1) JP2020507678A (zh)
KR (1) KR20190105243A (zh)
CN (1) CN110249407A (zh)
TW (1) TW201832620A (zh)
WO (1) WO2018144374A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110936596A (zh) * 2019-12-27 2020-03-31 河南先途智能科技有限公司 低温等离子技术处理鞋材表面的工艺方法
CN115159865A (zh) * 2022-07-26 2022-10-11 艾瑞森表面技术(苏州)股份有限公司 一种防眩光的表面处理方法

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
CN106105033B (zh) 2013-11-14 2019-04-12 鹰港科技有限公司 高压纳秒脉冲发生器
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11824454B2 (en) * 2016-06-21 2023-11-21 Eagle Harbor Technologies, Inc. Wafer biasing in a plasma chamber
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US10373804B2 (en) * 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
WO2018148182A1 (en) 2017-02-07 2018-08-16 Eagle Harbor Technologies, Inc. Transformer resonant converter
EP3665775A4 (en) 2017-08-25 2020-07-22 Eagle Harbor Technologies, Inc. ARBITRARY WAVEFORM GENERATION USING NANO-SECOND PULSES
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
EP3711081B1 (en) 2017-11-17 2024-06-19 AES Global Holdings, Pte. Ltd. Spatial and temporal control of ion bias voltage for plasma processing
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
KR102499709B1 (ko) 2018-08-10 2023-02-16 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
US10703654B2 (en) * 2018-11-07 2020-07-07 Pear Labs Llc Non-thermal multiple plasma gate devices
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7320608B2 (ja) 2019-01-08 2023-08-03 イーグル ハーバー テクノロジーズ,インク. ナノ秒パルサー回路での効率的なエネルギー回収
US11955314B2 (en) 2019-01-09 2024-04-09 Tokyo Electron Limited Plasma processing apparatus
KR20200086826A (ko) * 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
NL2022999B1 (en) * 2019-04-24 2020-11-02 Prodrive Tech Bv Voltage waveform generator for plasma processing apparatuses
US11488796B2 (en) * 2019-04-24 2022-11-01 Applied Materials, Inc. Thermal break for high-frequency antennae
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
CN112466735A (zh) * 2019-09-09 2021-03-09 东京毅力科创株式会社 基片支承器和等离子体处理装置
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR20230150396A (ko) 2019-12-24 2023-10-30 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) * 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
WO2023069633A1 (en) * 2021-10-21 2023-04-27 Applied Materials, Inc. Plasma processing chambers configured for tunable substrate and edge sheath control
US11664195B1 (en) 2021-11-11 2023-05-30 Velvetch Llc DC plasma control for electron enhanced material processing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront
JP7508758B1 (ja) 2024-02-08 2024-07-02 京都電機器株式会社 プラズマエッチング装置用パルス電源装置及びパルス電圧生成方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1425187A (zh) * 1999-11-18 2003-06-18 东京电子有限公司 离子化物理蒸汽沉积的方法和装置
US20070247074A1 (en) * 2006-04-24 2007-10-25 Alexander Paterson Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
CN101069272A (zh) * 2004-11-29 2007-11-07 东京毅力科创株式会社 蚀刻方法和蚀刻设备
US20130213935A1 (en) * 2009-08-07 2013-08-22 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20160056017A1 (en) * 2014-08-19 2016-02-25 Samsung Electronics Co., Ltd. Plasma apparatus and method of operating the same
CN105702550A (zh) * 2014-12-15 2016-06-22 朗姆研究公司 通过rf脉冲形状进行离子能量控制

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4013271B2 (ja) * 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
JP2004531880A (ja) * 2001-03-13 2004-10-14 アプライド マテリアルズ インコーポレイテッド 二重電極を有する基板の支持体
US6597117B2 (en) * 2001-11-30 2003-07-22 Samsung Austin Semiconductor, L.P. Plasma coil
JP4753306B2 (ja) * 2006-03-29 2011-08-24 東京エレクトロン株式会社 プラズマ処理装置
JP5224837B2 (ja) * 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2012044045A (ja) * 2010-08-20 2012-03-01 Toshiba Corp 制御装置、プラズマ処理装置、及び制御方法
US8232193B2 (en) * 2010-07-08 2012-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming Cu pillar capped by barrier layer
KR20120022251A (ko) * 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
JP6212363B2 (ja) * 2012-11-19 2017-10-11 太陽誘電ケミカルテクノロジー株式会社 構造体及びその製造方法
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
JP5701958B2 (ja) * 2013-10-15 2015-04-15 東京エレクトロン株式会社 基板処理装置
CN106343984A (zh) * 2015-07-17 2017-01-25 松下知识产权经营株式会社 注意信息提示装置和注意信息提示方法
US9697990B2 (en) * 2015-11-16 2017-07-04 Tokyo Electron Limited Etching method for a structure pattern layer having a first material and second material
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US10373804B2 (en) * 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1425187A (zh) * 1999-11-18 2003-06-18 东京电子有限公司 离子化物理蒸汽沉积的方法和装置
CN101069272A (zh) * 2004-11-29 2007-11-07 东京毅力科创株式会社 蚀刻方法和蚀刻设备
US20070247074A1 (en) * 2006-04-24 2007-10-25 Alexander Paterson Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20130213935A1 (en) * 2009-08-07 2013-08-22 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20160056017A1 (en) * 2014-08-19 2016-02-25 Samsung Electronics Co., Ltd. Plasma apparatus and method of operating the same
CN105702550A (zh) * 2014-12-15 2016-06-22 朗姆研究公司 通过rf脉冲形状进行离子能量控制

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110936596A (zh) * 2019-12-27 2020-03-31 河南先途智能科技有限公司 低温等离子技术处理鞋材表面的工艺方法
CN115159865A (zh) * 2022-07-26 2022-10-11 艾瑞森表面技术(苏州)股份有限公司 一种防眩光的表面处理方法

Also Published As

Publication number Publication date
US10923320B2 (en) 2021-02-16
US20190348258A1 (en) 2019-11-14
KR20190105243A (ko) 2019-09-16
US20180226225A1 (en) 2018-08-09
WO2018144374A1 (en) 2018-08-09
TW201832620A (zh) 2018-09-01
US10373804B2 (en) 2019-08-06
US20210134561A1 (en) 2021-05-06
JP2020507678A (ja) 2020-03-12

Similar Documents

Publication Publication Date Title
CN110249407A (zh) 在等离子体反应器中用于可调节工件偏压的系统
CN114361002B (zh) 在等离子体处理期间控制在基板的电压波形的系统与方法
TW490704B (en) Method and apparatus for eliminating displacement current from current measurements in a plasma processing system
TWI539495B (zh) 處理工件的方法及電漿處理系統
US20100063787A1 (en) Plasma fluid modeling with transient to stochastic transformation
KR20180052778A (ko) 기판들을 프로세싱하기 위한 rf 전력 전달 조절
US11625518B2 (en) Learning device, inference device, and learned model
JP2018037281A (ja) プラズマ処理装置
US20060191638A1 (en) Etching apparatus for semiconductor fabrication
JP6762410B2 (ja) プラズマ処理装置及び制御方法
JP2021522415A (ja) 周期的高電圧バイアスを用いたプラズマ化学気相堆積
US20200118814A1 (en) Plasma processing method and plasma processing apparatus
CN102471880A (zh) 选择性控制等离子体的离子组成物的系统和方法
US10535505B2 (en) Plasma light up suppression
US20200407847A1 (en) Method and apparatus for providing station to station uniformity
Krüger et al. Voltage waveform tailoring for high aspect ratio plasma etching of SiO2 using Ar/CF4/O2 mixtures: Consequences of ion and electron distributions on etch profiles
US20190348260A1 (en) Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation
Qin et al. The response of a microwave multipolar bucket plasma to a high voltage pulse
TWI527079B (zh) 離子源與處理基底的方法
TW201136457A (en) Pulsed plasma to affect conformal processing
JP2010161259A (ja) プロセスシミュレーションプログラム、プロセスシミュレーション方法、プロセスシミュレータ
Kim et al. Numerical analysis for optimization of the sidewall conditions in a capacitively coupled plasma deposition reactor
Radmilović-Radjenović et al. Modelling of a low-pressure argon breakdown in combined fields
TW573326B (en) Storage poly process without carbon contamination
CN109216160B (zh) 边缘粗糙度减小

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190917

WD01 Invention patent application deemed withdrawn after publication