CN105702550A - 通过rf脉冲形状进行离子能量控制 - Google Patents

通过rf脉冲形状进行离子能量控制 Download PDF

Info

Publication number
CN105702550A
CN105702550A CN201510934397.6A CN201510934397A CN105702550A CN 105702550 A CN105702550 A CN 105702550A CN 201510934397 A CN201510934397 A CN 201510934397A CN 105702550 A CN105702550 A CN 105702550A
Authority
CN
China
Prior art keywords
pulse signal
pulse
transition
state
slope
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510934397.6A
Other languages
English (en)
Other versions
CN105702550B (zh
Inventor
阿列克谢·马拉霍塔诺夫
陈志刚
约翰·帕特里克·霍兰德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201810795791.XA priority Critical patent/CN109103064B/zh
Publication of CN105702550A publication Critical patent/CN105702550A/zh
Application granted granted Critical
Publication of CN105702550B publication Critical patent/CN105702550B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文描述了通过RF脉冲形状进行离子能量控制,具体描述了用于离子能量的斜坡控制的方法。该方法包括接收指示使用射频(RF)脉冲信号来执行蚀刻操作的设置。RF脉冲信号包括第一状态和第二状态。第一状态相比于第二状态具有较高的功率电平。该方法还包括接收与所述RF脉冲信号相关联的脉冲斜坡。脉冲斜坡提供第一状态和第二状态之间的过渡。此外,在蚀刻操作期间,脉冲斜坡不是基本上无穷大以减少离子能量等。方法包括确定功率电平和定时以实现脉冲斜坡并发送功率电平和定时到RF产生器以产生RF脉冲信号。

Description

通过RF脉冲形状进行离子能量控制
技术领域
本实施方式涉及用于通过射频(RF)脉冲形状进行离子能量控制的系统和方法。
背景技术
使用等离子体系统蚀刻晶片。晶片是由半导体材料制成的并在电子产品中使用以制造集成电路的衬底。在晶片上执行各种操作(例如,蚀刻、掺杂、离子注入、沉积、光刻图案化,等)以在晶片上形成集成电路。然后集成电路被划片和封装。
当前的高深宽比(HAR)接触蚀刻技术(例如,HAR>50:1,等)使用显著低的射频(RF)信号,以产生高能量离子。然而,高离子能量导致显著的掩模损失。
此外,使用低和高的功率的等离子体能量机制,脉冲等离子体由于较好的掩模选择性通常被使用以沉积聚合物和蚀刻触头。使用低功率量沉积聚合物,使用高功率量蚀刻触头。然而,例如,当2兆赫(MHz)等离子体不产生低能离子或少量低能离子等等时,在高功率脉冲期间损失大多数的掩模。
在这样的背景下,产生本公开中描述的实施方式。
发明内容
本公开内容的实施方式提供用于通过RF脉冲形状进行离子能量控制的装置、方法和计算机程序。但是应该理解的是,可以通过例如过程、装置、系统、设备或在非短暂性计算机可读介质上的方法之类的多种方式来实施这些实施方式。以下描述了一些实施方式。
在各种实施方式,描述了用于设置等离子体处理系统的操作参数的方法,所述等离子体处理系统用于当衬底层放置在所述等离子体处理系统的电极上时蚀刻所述衬底层。所述方法包括设置射频(RF)产生器的脉冲频率,所述RF产生器产生具有所述脉冲频率的RF脉冲信号。所述脉冲频率在低功率电平和高功率电平之间切换。所述高功率电平被限定在具有上升过渡和下降过渡的包络中间。所述方法还包括设置用于修改所述RF脉冲信号的斜坡参数。针对所述包络的所述上升过渡和下降过渡中的每个设置所述斜坡参数。所述斜坡参数限定在所述上升过渡的上升速率的缩减和所述下降过渡的下降速率的缩减。所述上升速率和所述下降速率的所述缩减使在所述高功率电平下的所述包络的减小的脉冲宽度成形。在所述脉冲频率期间所述高功率电平相比于所述低功率电平具有较短的持续时间。所述方法包括供给由所述斜坡参数修改的所述RF脉冲信号至所述等离子体处理系统的所述电极。当所述RF脉冲信号被所述斜坡参数修改时,在所述上升过渡和下降过渡期间发生低能离子的增加,并且在所述包络的减小的脉冲宽度期间,产生高的离子能量。
在一些实施方式中,描述了用于设置操作参数的等离子体处理系统,所述操作参数用于当衬底层放置在所述等离子体处理系统的电极上时蚀刻所述衬底层。所述等离子体处理系统包括用于产生RF脉冲信号的射频(RF)产生器。所述等离子体处理系统还包括主计算机。所述主计算机设置所述产生器的脉冲频率。所述RF脉冲信号具有所述脉冲频率,所述脉冲频率在低功率电平和高功率电平之间切换。所述高功率电平被限定在具有上升过渡和下降过渡的包络中间。所述主计算机还设置成修改所述RF脉冲信号的斜坡参数。针对所述包络的所述上升过渡和所述下降过渡中的每个设置所述斜坡参数。所述斜坡参数限定所述上升过渡的上升速率的缩减和所述下降过渡的下降速率的缩减。所述上升速率和所述下降速率的所述缩减使在所述高功率电平下的所述包络的减小的脉冲宽度成形。在所述脉冲频率期间所述高功率电平相比于所述低功率电平具有较短的持续时间。所述RF产生器将由所述斜坡参数修改的所述RF脉冲信号供给至所述等离子体处理系统的所述电极。当所述RF脉冲信号由所述斜坡参数修改时,在所述上升过渡和所述下降过渡期间,发生低能离子的增加,并且在所述包络的减少脉冲宽度期间,产生高的离子能量。
描述了用于设置等离子体处理系统的操作参数的方法,所述等离子体处理系统用于当衬底层放置在所述等离子体处理系统的电极上时蚀刻所述衬底层。所述方法包括设置RF产生器的脉冲频率,所述RF产生器产生具有所述脉冲频率的RF脉冲信号。所述脉冲频率在低功率电平、中等功率电平和高功率电平之间切换。所述高功率电平被限定在具有上升过渡和第一下降过渡的包络中间,所述中等功率电平被限定在从所述第一下降过渡的边缘起直到第二下降过渡的边缘的包络中间。所述方法还包括设置用于修改所述RF脉冲信号的斜坡参数。针对所述上升过渡、所述第一下降过渡和所述第二下降过渡中的每个设置所述斜坡参数。所述斜坡参数限定所述上升过渡的上升速率的缩减、所述第一下降过渡的下降速率的缩减和所述第二下降过渡的下降速率的缩减。所述上升速率和所述第一过渡的所述下降速率的所述缩减使在所述高功率电平下的所述包络的减小的脉冲宽度成形,并且所述第二下降过渡的所述下降速率的所述缩减使在所述中等功率电平下的所述包络的减小的脉冲宽度成形。在所述脉冲频率期间所述高功率电平和所述中等功率中的每个相比于所述低功率电平具有较短的持续时间。所述方法包括供给由所述斜坡参数修改的所述RF脉冲信号至所述等离子体处理系统的所述电极。当所述RF脉冲信号被所述斜坡参数修改时,在所述上升过渡、所述第一下降过渡和所述第二下降过渡期间发生低能离子的增加,并且在所述高功率电平和所述中等功率电平下所述包络的所述减小的脉冲宽度期间,产生高的离子能量。
在一些实施方式中,方法包括接收指示利用RF脉冲信号在衬底叠层上执行蚀刻操作的设置。RF脉冲信号包括第一状态和第二状态。第一状态相比于第二状态具有较高的功率电平。此外,RF脉冲信号将从RF产生器被发送到阻抗匹配电路以进行修改,从而产生修改的信号,修改的信号将被发送到等离子体室。该方法还包括接收与RF脉冲信号相关联的脉冲斜坡。脉冲斜坡提供第一状态和第二状态之间的过渡给RF产生器。此外,脉冲斜坡不是基本上无穷大以在蚀刻操作过程中减少具有高能量的离子的量。方法包括确定用于实现脉冲斜坡的功率电平和定时并发送所述功率电平和定时给RF产生器以产生RF脉冲信号。
在各种实施方式中,方法包括接收指示利用RF脉冲信号在衬底叠层上执行蚀刻操作的设置,RF脉冲信号包括第一状态和第二状态。第一状态相比于第二状态具有较高的功率电平。RF脉冲信号将被发送到阻抗匹配电路以进行修改从而产生修改的信号,修改的信号将被发送到等离子体室。方法还包括接收与所述RF脉冲信号相关联的脉冲斜坡。脉冲斜坡提供从第二状态到第一状态的过渡给RF产生器。脉冲斜坡不是基本上无穷大以在蚀刻操作期间减少具有高能量的离子的量。方法还包括确定用于实现脉冲斜坡的功率电平和定时,发送所述功率电平和定时到RF产生器以产生RF脉冲信号,并且接收与所述RF脉冲信号相关联的额外斜坡。额外斜坡提供了从第一状态到第三状态的过渡,并且不是基本上无穷大以减少具有高能量的离子的量。方法包括确定用于实现额外斜坡的功率电平和定时,发送用于实现额外斜坡的所述功率电平和定时到RF产生器以产生RF脉冲信号,并且接收与所述RF脉冲信号相关联的另一额外斜坡。另一额外斜坡提供从第三状态到第二状态的过渡,并且不是基本上无穷大以减少具有高能量的离子的量。方法包括确定用于实现另一额外斜坡的功率电平和定时,并发送用于实现另一额外斜坡的功率电平和定时到RF产生器以产生RF脉冲信号。
在一些实施方式中,方法包括接收利用RF脉冲信号进行蚀刻操作的指示,所述RF脉冲信号在第一状态和第二状态之间切换。RF脉冲信号由RF产生器产生,RF产生器经由阻抗匹配电路与等离子体室耦合。第一状态相比于第二状态具有较高的功率电平。方法进一步包括接收具有将由脉冲产生的高能量的离子的量低于预定的阈值的指示。该方法还包括确定与所述第一状态相关联的多个功率电平,以在接收到要执行蚀刻操作以及具有高能量的离子量低于预定阈值的指示时执行蚀刻操作。该方法包括确定用于实现功率电平的定时。定时提供在RF脉冲信号的第一状态期间的上升时间和下降时间。上升时间是用于从第二状态达到第一状态,下降时间是用于从第一状态达到第二状态。上升时间和下降时间的斜坡不是基本上无穷大。
本文所述的系统和方法的各种实施方式的一些优点包括在处理操作(例如,蚀刻操作、溅射操作、沉积操作、它们的组合,等)期间增加低能离子的量。低能离子通过将RF脉冲的形状改变为方形脉冲以外的形状来增加。对低能离子与高能离子通量的比率的控制有利于对聚合物沉积和在掩模层的表面上以及在接触孔的底部上的蚀刻速率的控制。
本文所述的系统和方法的其它优点包括低能离子促进衬底的聚合作用,例如,沉积聚合物,等,以及保护沉积在衬底上的掩模层。相对于高能离子,低能离子不易侵蚀掩模层。而且,聚合作用有助于抑制蚀刻衬底叠层的蚀刻层的覆盖层蚀刻速率(blanketetchrate)。
结合附图,其他方面从以下详细描述将变得明显。
附图说明
结合附图,参照以下描述可以最好地理解实施方式。
图1是根据本公开中描述的实施方式的用于说明蚀刻操作的衬底叠层的示意图。
图2是根据本公开中描述的实施方式的用于说明被执行以产生低能离子的处理操作的等离子体系统的示意图。
图3A是根据本公开中描述的实施方式的用于说明使用图2的等离子体系统射频(RF)产生器产生低能离子的系统的示意图。
图3B是根据本公开中描述的实施方式的用于说明从RF脉冲信号的各个斜坡产生功率电平的示意图。
图3C是根据本公开中描述的实施方式的用于说明RF脉冲信号的各个斜坡的示意图。
图3D是说明方形脉冲信号的过渡时间的变化以在图2的等离子体系统的等离子体室中产生低能离子的曲线图的实施方式。
图4A描绘了根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的关系曲线图。
图4B描绘了根据本公开中描述的实施方式的RF脉冲信号的功率或电压,其中,上升过渡斜坡大于下降过渡斜坡。
图4C是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4D是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4E是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4F是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4G是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4H是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4I是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4J是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4K是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4L是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4M是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4N是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4O是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4P是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4Q是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4R是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4S是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4T是根据本公开中描述的实施方式的RF脉冲信号的功率或电压与时间的绘图的示意图。
图4U是根据本公开中描述的实施方式的电压与时间的绘图的示意图。
图5A是根据本公开中描述的实施方式的说明具有基本无穷大斜坡的方形RF信号脉冲的上升时间和脉冲下降时间的示意图。
图5B是根据本公开中描述的实施方式的说明具有不是基本无穷大斜坡的RF信号脉冲的上升时间和脉冲下降时间的示意图。
图5C是根据本公开中描述的实施方式的说明具有不是基本无穷大斜坡的RF信号脉冲的上升时间和脉冲下降时间的示意图。
图6A是根据本公开中描述的实施方式的说明低能离子的量随着RF脉冲信号的上升时间的增加和/或下降时间的增加而增加的多个绘图的示意图。
图6B是示出根据本公开中描述的实施方式的说明低能离子的量随着RF脉冲信号的形状的变化而增加的多个绘图的示意图。
图6C根据本公开中描述的实施方式示出了说明离子能量分布随着RF脉冲信号的工作周期的变化而变化的不同绘图。
图7是根据本公开中描述的实施方式的说明随着脉冲上升或下降时间的增加或者随着脉冲顶部宽度的减少,蚀刻层的选择性增加的曲线图。
图8是根据本公开中描述的实施方式的说明当具有不是基本上无穷大的斜坡的上升过渡斜坡和/或下降过渡斜坡的RF脉冲信号用于执行蚀刻操作时,在跨越衬底叠层的表面上蚀刻速率均匀性的改善的曲线图。
图9是根据本公开中描述的实施方式的说明当低能离子增加时归一化的蚀刻速率均匀性的改善的绘图。
图10A是根据本公开中描述的实施方式的用于说明使用直流(DC)功率源以产生修改的RF脉冲信号的系统的示意图。
图10B是根据本公开中描述的实施方式的在三种状态下操作的RF产生器的示意图。
图10C是根据本公开中描述的实施方式说明三种状态的RF脉冲信号的曲线图。
图10D是根据本公开中描述的实施方式的说明使用三种状态从方形RF脉冲信号产生RF脉冲信号的曲线图。
图11是根据本公开中描述的实施方式的说明在状态3期间施加直流(DC)功率以增加蚀刻操作过程中的蚀刻速率的曲线图。
图12是根据本公开中描述的实施方式的说明使用直流功率源以增加蚀刻速率的接触孔的示意图。
具体实施方式
以下实施方式描述了用于通过射频(RF)脉冲形状控制离子能量的系统和方法。显而易见的是,这些实施方式可在没有这些具体细节中的一些或全部的情况下实施。在其他情况下,公知的工艺操作没有被详细描述以免不必要地模糊这些实施方式。
图1是用于说明蚀刻操作的衬底叠层100的实施方式的示意图。衬底叠层100包括衬底层、蚀刻层、以及掩模层。蚀刻层在本文中有时被称为衬底层。蚀刻层覆盖在衬底层的顶部,掩模层覆盖在蚀刻层的顶部。蚀刻层的实例包括二氧化硅层、氮化硅层、包含二氧化硅和氮化硅的层、覆盖有碳层的二氧化硅层、碳氧化硅,等等。蚀刻层覆盖有掩模层,掩模层诸如光致抗蚀剂层等。掩模层具有多个接触孔,接触孔诸如开口、接触孔102,等。
在一些实施方式中,接触孔102具有基本上垂直的或垂直的侧壁,并具有高的深宽比,深宽比被指定为提供给RF产生器的设置。下面提供设置和RF产生器的进一步的描述。
在蚀刻操作期间蚀刻层被等离子体蚀刻,掩模层保护蚀刻层不要蚀刻的区域。蚀刻层被蚀刻以延伸接触孔102通过蚀刻层。在形成在衬底上的接触孔内形成例如金属互连线、导线之类特征。在一些实施方式中,接触孔被用作电容器沟槽。
等离子体在等离子体室内产生,等离子体室在下面进一步描述,等离子体包括高能离子,例如,通过在RF脉冲信号的恒稳态期间供给高的功率量形成的离子。高的功率量的例子包括5000瓦、10000瓦、15000瓦,介于5000瓦和15000瓦之间的量,等。在一些实施方式中,高的功率量包括在方形脉冲RF信号的状态S1期间产生的功率量。下面进一步描述状态S1。
使用抗蚀剥离剂或含氧等离子体或化学溶液等,使掩模层最终去除(例如,当它不再需要时,等等)。
图2是用于说明被执行以产生具有宽能量分布的低能离子的处理操作的等离子体系统200的实施方式的示意图,处理操作例如,蚀刻操作、溅射操作、沉积操作、它们的组合等。系统200包括x兆赫(MHz)RF产生器、yMHzRF产生器和zMHzRF产生器。
xMHzRF产生器的例子包括具有2MHz操作频率的RF产生器,yMHzRF产生器的例子包括具有27MHz操作频率的RF产生器,zMHzRF产生器的例子包括具有60MHz操作频率的RF产生器。应当指出的是,在一些实施方式中,xMHz操作频率包括介于x-XMHz和x+XMHz之间的范围的操作频率,yMHz操作频率包括介于y-YMHz和y+YMHz之间的范围的操作频率,zMHz操作频率包括介于z-ZMHz和z+ZMHz之间的范围的操作频率,其中“X”、“Y”和“Z”中的每个都是正的实数。例如,2MHz操作频率包括介于1.8MHz和2.2MHz之间的范围的操作频率。作为另一个例子,60MHz操作频率包括介于57MHz和63MHz之间的范围的操作频率。
系统200还包括主机系统210、阻抗匹配电路(IMC)204和等离子体室206。主机系统210被连接到xMHzRF产生器、yMHzRF产生器和zMHzRF产生器中的每个。xMHzRF产生器通过RF电缆耦合到IMC204。同样地,yMHzRF产生器和zMHzRF产生器通过相应的RF电缆耦合到IMC204。IMC204经由RF传输线212被连接到等离子体室206的卡盘215。卡盘215的例子包括静电卡盘(ESC),另一种类型的卡盘,等。
在一些实施方式中,RF传输线212包括偏置外壳,偏置外壳还包括在一端耦合到RF带并在另一端耦合到卡盘215的RF圆柱体。RF带被连接到IMC204。
主机系统210包括处理器214,存储装置(图2中被指定为“M”),通信设备(CD),输入装置,和输入/输出(I/O)接口。输入装置通过I/O接口连接到处理器214。输入装置的例子包括键盘、触摸屏、小键盘、鼠标、触笔等。此外,处理器的例子包括中央处理单元(CPU)、控制器、专用集成电路(ASIC)、可编程逻辑器件(PLD)、现场可编程门阵列(FPGA)等。此外,存储器装置的例子包括只读存储器(ROM)、随机存取存储器(RAM)、硬盘、易失性存储器、非易失性存储器、冗余阵列的存储磁盘、闪存存储器等。通信装置促进并行通信数据的通信,或数据的串行通信,或它们的组合。
等离子体室206还包括上电极。上电极由导电材料(例如,铝、铝合金、低电阻硅等)制成。上电极位于卡盘的下电极相对侧并面向所述卡盘的下电极。上电极接地,例如,耦合到参考电压,耦合到零电压,耦合到负电压等。
在各种实施方式中,卡盘215的下电极由金属制成,该金属例如阳极化铝、铝合金,等。在一些实施方式中,卡盘215包括下电极以及相对于下电极设置(例如,在顶部,等)的陶瓷板。在多种实施方式中,卡盘215包括下电极、陶瓷层和相对于下电极(例如,下方等)放置的设施板。衬底叠层100放置在卡盘215的顶面217上进行处理,例如,在衬底上沉积材料,或清洗衬底叠层100,或从衬底叠层100蚀刻沉积层,或掺杂衬底,或溅射衬底叠层100,或它们的组合。
在一些实施方式中,使用服务器或虚拟机替代主机系统。例如,服务器或虚拟机执行与由主机系统210执行的本文中所描述的功能相同的功能。
在一些实施方式中,等离子体系统200包括任意数量的可操作RF产生器。例如,xMHzRF产生器是可操作的(例如,功能性的、通电的,等等),而y和zMHzRF产生器是不可操作的。作为另一示例,x和yMHzRF产生器是可操作的,而zMHzRF产生器是不可操作的。
图3A是说明利用等离子体系统200(图2)的RF产生器302产生低能离子的系统300的实施方式的示意图,图3B是说明功率电平从RF脉冲信号202(图2)的各种斜坡产生的示意图,图3C是用于说明各种斜坡的示意图。RF产生器302是任何x、y和zMHzRF产生器的例子。
处理器214经由输入设备从用户接收将执行的处理操作的类型。例如,处理器214接收指示在衬底叠层100上将执行的蚀刻操作、沉积操作、溅射操作、清洗操作、或它们的组合等的信号。
处理器214经由输入装置从用户接收待由RF产生器302产生的RF脉冲信号(例如,射频脉冲信号202等)的一个或多个斜坡。例如,接收在RF脉冲信号202的上升过渡期间将实现的斜坡(例如,在图3B和3C中示出的RF脉冲信号352的斜坡1R,在图3B和3C中所示的RF脉冲信号354的斜坡2R,等等)以及接收在RF脉冲信号202的下降过渡期间将实现的斜坡(例如,斜坡1F、斜坡2F等)。上升过渡是从状态S0(例如,低状态等)到状态S1(例如,高状态等)的过渡。高状态具有比低状态的功率电平的量更高的功率电平的量。例如,高状态的所有功率量大于低状态的所有功率量。在一些实施方式中,功率电平包括一个或多个功率量。
此外,针对每个状态S1和S0,与恒稳态(例如,脉冲宽度等)相关联的功率量由处理器214经由输入设备从用户接收。在下面进一步描述RF脉冲信号的恒稳态。
基于所接收的一个或多个斜坡,与恒稳态相关联的功率量,以及从时钟源(例如,时钟振荡器,或连接有锁相环的时钟振荡器,等等)接收的时钟信号的时钟周期,处理器214确定将要通过RF产生器302产生的RF脉冲信号的功率量和定时。例如,参照图3B和3C,处理器214确定,为了实现斜坡1R,RF脉冲信号352将在时刻t1期间达到功率量P11并在时刻t3期间达到功率量P13。处理器214确定,从在时刻t0达到的功率量P0起将达到功率量P11。功率量P0与状态S0的恒稳态相关联,功率量P13与状态S1的恒稳态相关联。时刻t0、t1和t3根据时钟周期的工作周期以及根据斜坡1R确定。此外,处理器214确定,为了实现斜坡1F,RF脉冲信号352从在时刻t3达到功率量P13起,将在时刻t5期间达到功率量P11,然后在时刻t6达到功率量P0。时刻t3、t5和t6根据时钟周期的工作周期以及斜坡1F来确定。
作为另一例子,参照图3B和3C,处理器214确定,为了实现斜坡2R,RF脉冲信号354从在时刻t0的功率量P0起,将在时刻t1达到功率量P12,并确定RF脉冲信号354将要在时刻t2达到功率量P13。时刻t0、t1和t2根据时钟周期的工作周期和斜坡2R确定。此外,处理器214确定为了实现RF脉冲信号354的斜坡2F,从在时刻t4的功率量P13起,将在时刻t5达到功率量P12,以及在时刻t6达到功率电平P0。时刻t4、t5和t6根据时钟周期和斜坡2F确定。
应当注意的是,定时t1到t6在连续的顺序中。例如,时刻t6大于时刻t5,时刻t5大于时刻t4,时刻t3大于时刻t2,时刻t2大于时刻t1,并且时刻t1大于时刻t0。
此外,基于在时钟信号的工作周期期间的上升过渡斜坡和下降过渡斜坡,处理器214计算状态S1的恒稳态出现的时间量。例如,一旦确定在时钟信号的工作周期期间上升过渡斜坡和下降过渡斜坡的功率量和定时,处理器214确定功率量P13被保持持续时钟信号的工作周期的剩余时间段,例如,对应于脉冲宽度PW1,对应于脉冲宽度PW2,等。为了进一步说明,为了实现RF脉冲信号354的斜坡2R和斜坡2F,处理器214确定状态S1的恒稳态将被保持持续介于时刻t2和t4之间的时间段。作为另一示例,为了实现RF脉冲信号352的斜坡1R和斜坡1F,处理器214确定状态S1的恒稳态将维持时间t3。
在各种实施方式中,在状态(例如,状态S1,等)期间的恒稳态包括在功率量中的一个的预定的范围内的一个或多个功率量,所述预定的范围在相对恒稳态(例如,状态S0,等)的一个或多个功率量的预定的范围之外。例如,状态S1的恒稳态包括从1950瓦到2050瓦的范围的功率量,而状态S0的恒稳态包括从0瓦到20瓦的范围的功率量。
在各种实施方式中,在状态S1的恒稳态期间产生高能离子,在状态S1的剩余的时间段期间产生低能离子。
时钟周期指示将产生RF脉冲信号的一定数量的状态。例如,时钟周期具有两个状态S1和S0以指示处理器214,将产生具有与两个状态S1和S0相关联的功率电平和定时的RF脉冲信号。待产生的RF脉冲信号的两种状态与时钟信号的两种状态同步产生。为了说明,处理器214确定当时钟信号实现状态S1时RF脉冲信号启动过渡用于实现状态S1,当时钟信号实现状态S0时RF脉冲信号启动过渡用于实现状态S0。
在各种实施方式中,代替一个或多个斜坡,处理器214经由输入装置从用户接收待由RF产生器302产生的RF脉冲信号的功率量和定时。例如,处理器214接收指示下列事项的信号:为了产生RF脉冲信号352在时刻t1将达到功率量P11、在时刻t3将达到功率量P13、在时刻t5将达到功率量P11以及在时刻t6将达到功率量P0。这些信号还指示在时刻t0达到功率量P0后将达到功率量P11。作为另一个例子,处理器214接收指示下列事项的信号:为了产生RF脉冲信号354,在时刻t1将达到功率量P12、在时刻t2将达到功率量P13、功率量P13被保持时间段直到时刻t4为止、在时刻t5将达到功率量P12、以及在时刻t6将达到功率量的信号。在时刻t0从功率量P0将达到功率量P12。
在一些实施方式,替代一个或多个斜坡,处理器214经由输入设备从用户接收待由RF产生器的RF脉冲产生的离子能量的量小于预定阈值的信号。例如,使用方形脉冲信号产生的高能离子的数量大于预定阈值,使用具有不是基本上无穷大斜坡的上升过渡斜坡和/或不是基本上无穷大斜坡的下降过渡斜坡的RF脉冲信号产生的高能离子的数量小于预定阈值。处理器214确定功率量和定时,以促进RF脉冲信号的产生,从而进一步产生小于预定阈值的离子能量的量。使用离子能分布测量装置(IEMD)(未示出)测量离子能量的量,这在下面进一步描述,以确定离子能量是否小于预定阈值。下面说明确定离子能量是小于预确阈值的方式。一旦确定离子能量大于所述预定阈值,处理器214修改功率量和定时。功率量和定时被修改以降低将小于预定阈值的离子能量。
图3C示出了曲线图350的实施方式以说明功率量P0、P11、P12和P13,并说明与功率量相关联的定时t0到t6。曲线图350描绘了在RF棒测量的电势与时间的关系曲线图。应当指出的是,曲线图350包括两个RF脉冲信号352和354,其每一个是RF脉冲信号202(图2)的例子。RF脉冲信号352的上升过渡(例如,斜坡1R,等)与RF脉冲信号352的下降过渡(例如,斜坡1F,等)相交。另一方面,RF脉冲信号354的上升过渡(例如,斜坡2R,等)与RF脉冲信号354的下降过渡(例如,斜坡2F,等)不相交。RF脉冲信号354的上升过渡通过状态S1的恒稳态与RF脉冲信号354的下降过渡分隔开。
在状态S1的恒稳态期间,RF脉冲信号354具有PW1的脉冲宽度。此外,在状态S1的恒稳态期间,RF脉冲信号352具有PW2的脉冲宽度。另外,在状态S1的恒稳态期间,方形脉冲信号356具有PW3的脉冲宽度。应当指出的是,脉冲宽度PW2小于脉冲宽度PW1,脉冲宽度PW1小于脉冲宽度PW3。
由RF脉冲信号的RF脉冲的脉冲宽度PW1、RF脉冲的上升过渡、以及RF脉冲的下降过渡占用的时间段形成RF脉冲信号的工作周期。工作周期同步于时钟信号,时钟信号例如,晶体管-晶体管逻辑(TTL)信号,等。例如,在RF脉冲信号的工作周期期间出现时钟信号的高电平。
应当注意的是,每一个斜坡1R、2R、1F、和2F都小于方形脉冲信号356的基本上无穷大的斜坡。例如,对于持续230微秒的时钟信号或TTL信号的工作周期,RF脉冲信号354的上升时间是100微秒或约100微秒。RF脉冲信号354的上升时间大于方形脉冲信号356的上升时间,方形脉冲信号356的上升时间是5微秒或约5微秒。此外,RF脉冲信号354的下降时间是100微秒或约100微秒。RF脉冲信号354的下降时间大于方形脉冲信号356的下降时间,方形脉冲信号356的下降时间是5微秒或约5微秒。此外,在RF脉冲信号354的状态S1期间的恒稳态时间是30微秒或约30微秒,在方形脉冲信号356的状态S1期间恒稳态时间为220微秒或约220微秒。
对于230微秒的工作周期,不具有基本上无穷大斜坡的RF脉冲信号的过渡时间(例如,上升时间、下降时间,等)的其他例子包括从7微秒到114.5微秒的范围的时间。此外,对于230微秒的工作周期,针对不具有基本上无穷大斜坡的RF脉冲信号的状态S1,恒稳态被保持的时间的其他例子包括从1微秒至216微秒的范围的时间。
参照图3A,与RF脉冲信号(例如,RF脉冲信号352,RF脉冲信号354,等)的状态S1和S0关联的功率量和定时由处理器214经由主机系统210的通信装置提供给RF产生器302的通信装置。RF产生器302的通信装置提供从主机系统210接收到的状态S1和S0的功率量和定时给RF产生器302的数字信号处理器(DSP)。
RF产生器302的DSP接收来自RF产生器302的通信装置的状态S1和S0的功率量和定时,并确定状态S0的功率量和定时以及状态S1的功率量和定时。例如,DSP区分状态S0的功率量和定时与状态S1的功率量和定时。
DSP发送状态S1的功率量和定时到RF产生器302的功率控制器PWRS1。例如,DSP将与RF的脉冲信号352(图3A和3B)的状态S1相关联的功率量P0、P11、P13和定时t1、t3、t5和t6发送到功率控制器PWRS1。作为另一个例子,DSP将RF脉冲信号354(图3A和3B)的状态S1的功率量P12、P13和P0以及定时t1、t2、t4、t5和t6发送到功率控制器PWRS1。此外,DSP发送状态S0的功率量和定时到RF产生器302的功率控制器PWRS0。作为一个例子,DSP发送RF脉冲信号352的状态S0的功率量P0以及定时t0和t6到功率控制器PWRS0。作为另一个例子,DSP发送RF脉冲信号354的状态S0的功率量P0以及定时t0和t6到功率控制器PWRS0。
每个功率控制器PWRS1和PWRS0(例如,经由晶体管、一个或多个晶体管,等)驱动RF产生器302的RF功率源304。例如,在状态S1期间功率控制器PWRS1通过提供状态S1的功率量和定时到RF功率源304来驱动RF功率源304,在状态S0期间功率控制器PWRS0通过提供状态S0的功率量和定时到RF功率源304来驱动RF功率源304。在状态S1和S0期间,RF功率源304被驱动以产生RF脉冲信号,例如,RF脉冲信号352、RF脉冲信号354,等。
由RF功率源304产生的RF脉冲信号从RF功率源304被发送到IMC204(图2)。同样,由y和zMHzRF产生器产生的RF脉冲信号被提供到IMC204。IMC204接收来自x、y和zMHzRF产生器的RF脉冲信号,并结合RF脉冲信号以产生修改的RF信号208(图2),所述RF信号208是脉冲信号。
虽然结合RF脉冲信号,IMC204将连接到IMC204的输出的负载的阻抗与连接到IMC204的输入的源的阻抗匹配,以产生修改的RF信号208。源的例子包括x、y和zRF产生器和将RF产生器与IMC204耦合的RF缆线。负载的例子包括RF传输线212(图2)和等离子体室206(图2)。修改的RF信号208由IMC204经由RF传输线212提供至卡盘215(图2)。
每次修改的RF信号208从IMC204被供给到卡盘215,在上电极和卡盘215之间经由上电极中的气体入口供给工艺气体(例如,含氧气体、含氟气体、含碳和氟的气体,等)。含氧气体的实例包括氧气,含氟气体的例子包括四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)、C4F6气体、C4F3气体、C3F8气体,等。当工艺气体和修改的RF信号208两者都被提供到等离子体室206时,等离子体被产生或保持在等离子体室206内。
在一些实施方式中,RF产生器的每个功率控制器是RF产生器的DSP的一部分。例如,RF产生器302的功率控制器PWRS0和PWRS1是由RF产生器302的DSP执行的计算机代码的一部分。
应当注意的是,在各种实施方式中,RF产生器302包括状态S0的自动频率调谐器(AFT)(在本文中被称为AFTS0)和状态S1的另一AFT(在本文中被称为AFTS1)。DSP经由主机系统210的通信装置和RF产生器302的通信装置接收状态S1的一个或多个频率和与状态S1的频率相关联的定时,并接收来自处理器214的状态S0的一个或多个频率和与状态S0的频率相关联的定时。DSP区分状态S1的一个或多个频率与状态S0的一个或多个频率。DSP提供与状态S0的频率相关联的一个或多个频率和定时给AFTS0,并提供与状态S1的频率相关联的一个或多个频率和定时给AFTS1。AFTS1经由驱动器驱动RF功率源304以实现状态S1的频率和定时,AFTS0经由驱动器驱动RF功率源304以实现状态S0的频率和定时。RF功率源304产生具有与状态S0相关联的频率和定时并具有与状态S1相关联的频率和定时的RF脉冲信号。
在各种实施方式中,RF产生器的每个AFT是RF产生器的DSP的一部分。例如,RF产生器302的AFTS0和AFTS1是由RF产生器302的DSP执行的计算机代码的一部分。
在一些实施方式中,除了上升时间斜坡和下降时间斜坡以外,上升时间斜坡的形状和/或下降时间斜坡的形状由处理器214从用户通过输入装置接收。例如,经由输入装置从用户接收指示上升过渡斜坡具有弯曲的形状(例如,正弦曲线形状、指数形状,等等)或直的形状(例如,线的形状等)以及用于实现从状态S0到状态S1的上升过渡的时间段的信号。在这个例子中,经由输入装置从用户接收指示下降过渡斜坡具有弯曲的形状(例如,正弦曲线形状、指数形状,等等)或直的形状以及用于实现从状态S1到状态S0的下降过渡和时间段的信号。基于过渡的形状、过渡的时间段以及时钟信号的工作周期,处理器214以与上面描述的方式类似的方式确定功率量和定时。
图3D是说明方形脉冲信号356的过渡时间的变化以产生等离子体室206(图2)内的低能离子的曲线图360的实施方式。曲线图360描绘了RF脉冲信号的功率与时间t的关系。处理器214(图2)设置(例如,确定、识别,等)RF产生器302(图3A)的脉冲频率。例如,RF产生器302的脉冲频率被设置成产生方形脉冲信号356或RF脉冲信号354。应当注意的是,RF脉冲信号354和356的脉冲频率是相同的。方形脉冲信号356的脉冲频率是RF脉冲信号356的包络ENV1在低功率电平(例如,PLVL1,等)和高功率电平(例如,PLVL2,等)之间切换的频率。例如,方形脉冲信号356的脉冲频率是时刻T0和时刻t0之差的倒数。包络ENV1具有正弦信号的性质并在状态S1期间形成方形脉冲信号356的一部分。
高功率电平LVL1具有大于低功率电平LVL2的功率量的功率量。高功率电平被限定在具有上升过渡RT1和下降过渡FT1的包络ENV1之间。上升过渡RT1是从低功率电平PLVL1到高功率电平PLVL2的过渡。下降过渡FT1是从高功率电平PLVL2到低功率电平PLVL1的过渡。
在一些实施方式中,RF产生器302的脉冲频率作为信号经由输入装置接收,用于由处理器214设置。例如,用户经由输入设备选择在主机系统210的显示装置上所显示的图形用户界面上的图标或标志,以提供指示脉冲频率的信号。显示装置的示例包括发光二极管显示器、液晶显示器、阴极射线管显示器,和等离子体显示器等。
此外,处理器214设置用于修改方形脉冲信号356的斜坡参数,例如,确定方形脉冲信号356的角度的变化的参数,用于确定方形脉冲信号356的上升过渡或下降过度的角度的减少的参数,等。在一些实施方式中,斜坡参数以信号的形式经由输入设备接收,用于由处理器214设置。例如,用户经由输入设备选择在主机系统210的显示装置上所显示的图形用户界面上的图标或标志,以提供指示斜坡参数的信号。设置斜坡参数用于实现RF脉冲信号354的包络ENV2的上升过渡RT2和下降过渡FT2。在状态S1期间包络ENV2具有正弦信号的性质,并形成RF脉冲信号354的一部分。上升过渡RT2是从低功率电平PLVL1到高功率电平PLVL2的过渡。下降过渡FT2是从高功率电平PLVL2到低功率电平PLVL1的过渡。
斜坡参数限定,相比于上升过渡RT1的上升速率,上升过渡RT2的上升速率缩减。上升速率的缩减使上升过渡RT2的上升时间相比于上升过渡RT1的上升时间增加。例如,上升时间,例如,时刻t2和t0之间的时间大于上升时间,例如,在时刻t0或大约在时刻t0的上升时间,等。斜坡参数进一步限定,相比于下降过渡FT1的下降速率,下降过渡FT2的下降速率的缩减。下降速率的缩减使下降过渡FT2的下降时间比下降过渡FT1的下降时间增加。例如,下降时间,例如,时刻t6和t4之间的时间大于下降时间,例如,在时刻t6或大约在时刻t6的下降时间,等。上升速率和下降速率的缩减使在高功率电平PLVL2下的包络ENV2的减小的脉冲宽度PW1成形。脉冲宽度PW1相比于方形脉冲信号356的脉冲宽度PW3减小。
此外,在RF脉冲信号354的脉冲频率期间,高功率电平PLVL2相比于低功率电平PLVL1具有较短的持续时间。例如,在低功率电平PLVL1期间,脉冲宽度PW1小于RF脉冲信号354的脉冲宽度PW5。
RF脉冲信号354被提供给等离子体室206的下电极。当RF脉冲信号354被提供给下电极时,在上升过渡RT2和下降过渡FT2期间出现低能离子的增加。此外,在包络ENV2的减小的脉冲宽度PW1期间产生高离子能量。
在一些实施方式中,处理器214设置(例如,确定、识别,等等)RF脉冲信号(例如,正弦RF脉冲信号、钟形RF脉冲信号、指数RF脉冲信号,等等)的形状。RF脉冲信号的形状包括RF脉冲信号的上升过渡的形状、下降过渡的形状,和/或上升过渡和下降过渡渡之间的恒稳态的形状。在一些实施方式中,RF脉冲信号的形状经由输入设备被接收作为信号。例如,用户通过输入装置选择主机系统210的显示设备上所显示的图形用户界面上的图标或标志以提供关于RF脉冲信号的形状的信号。
应当注意的是,RF脉冲信号354具有不同于包络ENV2的频率的射频。例如,该射频是正弦波信号的频率并大于所述正弦信号的包络ENV2的频率。
图4A-4U是说明RF脉冲信号的包络的各种形状的曲线图的实施方式。例如,在图4A中,其绘出了RF脉冲信号402的功率或电压与时间t的关系曲线图,RF脉冲信号402的包络具有多边形形状。RF脉冲信号的包络的实例包括RF脉冲信号的幅度。RF脉冲信号402是由RF产生器302(图3A)产生的RF脉冲信号的例子。
如图所示,RF脉冲信号402是RF脉冲信号402'的包络,其具有正弦形状并由RF产生器302(图3A)产生。同样地,本文描述的RF脉冲信号(例如,RF脉冲信号352、RF脉冲信号354、RF脉冲信号356,(图3C)等)是由RF产生器302所产生的对应的正弦RF脉冲信号的包络。
在状态S1期间RF脉冲信号402的上升过渡斜坡A1大于在状态S1期间RF脉冲信号402的下降过渡的斜坡B1。上升过渡斜坡A1的上升时间小于下降过渡斜坡B1的下降时间。
在状态S1期间上升过渡斜坡A1小于方形脉冲信号的基本上无穷大斜坡404,在状态S1期间下降过渡斜坡B1小于方形脉冲信号的基本上无穷大斜坡406。在衬底叠层100(图1)的处理期间,斜坡的降低有助于产生低能离子而减少高能离子,在图4A中用“x”等示出。
此外,斜坡A1不与斜坡B1相交。
图4B绘制RF脉冲信号408的功率或电压,其中,上升过渡斜坡C1大于下降过渡斜坡D1。RF脉冲信号408是由RF产生器302(图3A)产生的RF脉冲信号的例子。在状态S1期间RF脉冲信号408的上升时间大于RF脉冲信号408的下降时间。
此外,斜坡C1不相交于斜坡D1。另外,RF脉冲信号408的状态S1的恒稳态SS2的时间段小于方形脉冲信号的状态S1的恒稳态SS1的时间段。
图4C是RF脉冲信号410的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号410是由RF产生器302(图3A)产生的RF脉冲信号的例子。RF脉冲信号410的上升过渡斜坡E1小于RF脉冲信号410的下降过渡斜坡F1。在RF脉冲信号410的状态S1期间,斜坡E1小于方形脉冲信号的斜坡404,而斜坡F1小于斜坡406以减少由“x”表示的高能量离子的量而增加低能离子的量。
此外,斜坡E1相交于斜坡F1。
图4D是RF脉冲信号412的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号412是由RF产生器302(图3A)产生的RF脉冲信号的例子。RF脉冲信号412的上升过渡斜坡G1大于RF脉冲信号412的下降过渡斜坡H1。
此外,斜坡G1相交于斜坡H1。
图4E是RF脉冲信号414的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号414是由RF产生器302(图3A)产生的RF脉冲信号的例子。RF脉冲信号414的上升过渡斜坡I1小于在RF脉冲信号414的下降时间期间的基本上无穷大斜坡J1。而且,下降过渡斜坡J1与斜坡406相同或基本类似,斜坡406基本上是无穷大的。
图4F是RF脉冲信号416的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号416是由RF产生器302(图3A)产生的RF脉冲信号的例子。在RF脉冲信号416的上升过渡期间的基本上无穷大斜坡K1大于RF脉冲信号416的下降过渡斜坡L1。
图4G是RF脉冲信号418的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号418是由RF产生器302(图3A)产生的RF脉冲信号的例子。RF脉冲信号418的下降过渡期间的基本上无穷大斜坡N1大于RF脉冲信号418的上升过渡斜坡M1。应当注意的是,状态S1的上升时间期间的RF脉冲信号418的形状是弯曲的,例如,正弦形,等等。例如,在RF脉冲信号418的上升时间期间斜坡M1是变化的(例如,不恒定,等)。
图4H是RF脉冲信号420的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号420是由RF产生器302(图3A)产生的RF脉冲信号的例子。RF脉冲信号420的上升过渡期间的基本上无穷大斜坡O1大于RF脉冲信号420的下降过渡斜坡P1。应当注意的是,状态S1的下降时间期间RF脉冲信号420的形状是弯曲的,例如,正弦形,等等。例如,在RF脉冲信号420的下降时间期间斜坡P1是变化的(例如,不恒定,等)。
图4I是RF脉冲信号422的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号422是由RF产生器302(图3A)产生的RF脉冲信号的例子。上升过渡斜坡Q1与RF脉冲信号422的下降过渡斜坡R1是相反的(例如,具有相反的方向,等)并具有相同的幅度。在上升和下降时间两者期间,RF脉冲信号422是弯曲的,例如,正弦形,等。例如,斜坡Q1和R1都是变化的。此外,斜坡Q1相交于斜坡R1。
图4J是RF脉冲信号424的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号424是由RF产生器302(图3A)产生的RF脉冲信号的例子。上升过渡斜坡S1与RF脉冲信号424的下降过渡斜坡T1相反(例如,具有相反的方向,等)并具有相同的幅度。在上升和下降时间两者期间,RF脉冲信号424的是弯曲的,例如,钟形,指数形,等。例如,斜坡S1和T1都是变化的。此外,斜坡S1相交于斜坡T1。
图4K是RF脉冲信号426的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号426是由RF产生器302(图3A)产生的RF脉冲信号的例子。RF脉冲信号426的下降过渡期间的基本上无穷大斜坡V1大于RF脉冲信号426的上升过渡斜坡U1。应当注意的是,在状态S1的上升时间期间RF脉冲信号426的形状是弯曲的,例如,钟形,指数形,等等。例如,在RF脉冲信号426的上升时间期间斜坡U1是变化的,例如,不恒定,等。在斜坡U1和V1之间在状态S1期间存在恒稳态。
图4L是RF脉冲信号428的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号428是由RF产生器302(图3A)产生的RF脉冲信号的例子。RF脉冲信号428的上升过渡期间的基本上无穷大斜坡W1大于RF脉冲信号428的下降过渡斜坡X1。应当注意的是,状态S1的下降时间期间的RF脉冲信号428的形状是弯曲的,例如,钟形,指数形,等等。例如,在RF脉冲信号428的下降时间期间斜坡X1是变化的,例如,不恒定的,等等。在斜坡W1和X1之间在状态S1期间存在恒稳态。
图4M是RF脉冲信号430的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号430是由RF产生器302(图3A)产生的RF脉冲信号的例子。RF脉冲信号430的上升过渡斜坡Y1相比于RF脉冲信号430的下降过渡斜坡Z1在幅度上是相同的,但方向相反。斜坡Y1小于方形脉冲信号的斜坡404,而斜坡Z1小于方形脉冲信号的斜坡406,以在RF脉冲信号430的状态S1期间减少高能离子的量和增加低能离子的量。斜坡Y1和Z1都形成直线或大致直线。
此外,斜坡Y1相交于斜坡Z1。
图4N是RF脉冲信号432的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号432是由RF产生器302(图3A)产生的RF脉冲信号的例子。RF脉冲信号432的上升过渡期间的基本上无穷大斜坡A2大于RF脉冲信号432的下降过渡斜坡B2。
图4O是RF脉冲信号434的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号434是由RF产生器302(图3A)产生的RF脉冲信号的例子。在RF脉冲信号434的下降过渡期间的基本上无穷大斜坡D2大于RF脉冲信号434的上升过渡斜坡C2。
图4P是RF脉冲信号436的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号436是由RF产生器302(图3A)产生的RF脉冲信号的例子。RF脉冲信号436的上升过渡斜坡E2相比于RF脉冲信号436下降过渡斜坡F2在幅度上是相同的,但方向相反。每一个斜坡E2和F2都是变化的,例如,具有正弦形,不是恒定的,等。在斜坡E2和F2之间存在状态S1的恒稳态。
图4Q是RF脉冲信号438的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号438是由RF产生器302(图3A)产生的RF脉冲信号的例子。在RF脉冲信号438的下降过渡期间基本上无穷大斜坡H2大于RF脉冲信号438的上升过渡斜坡G2。另外,斜坡G2是弯曲的,例如,是变化的,具有正弦形,等。
图4R是RF脉冲信号440的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号440是由RF产生器302(图3A)产生的RF脉冲信号的例子。在RF脉冲信号440的上升过渡期间的基本上无穷大斜坡I2大于RF脉冲信号440的下降过渡斜坡J2。另外,斜坡J2是弯曲的,例如,是变化的,具有正弦形,等。
图4S是RF脉冲信号442的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号442是由RF产生器302(图3A)产生的RF脉冲信号的例子。RF脉冲信号442的上升时间斜坡K2为常数(例如,形成直线,等)或基本上是恒定的(例如,基本上形成直线,等),RF脉冲信号442的下降过渡斜坡L2是变化的,例如,是正弦形,等。在一些实施方式中,RF脉冲信号的上升过渡斜坡442是弯曲的,例如,具有钟形,以指数形式增加,等。
图4T是RF脉冲信号444的功率或电压与时间t的关系曲线图的实施方式的示意图。RF脉冲信号444是由RF产生器302(图3A)产生的RF脉冲信号的例子。RF脉冲信号444的上升时间斜坡M2是变化的(例如,是正弦形的,等),而RF脉冲信号444的下降过渡斜坡N2是恒定或基本恒定的。在一些实施方式中,RF脉冲信号444的下降过渡斜坡是弯曲的,例如,具有钟形、以指数形式减少,等。
在每个附图4A-4T中,在RF脉冲信号(使用虚线图示)的状态S1期间的恒稳态小于方形脉冲信号的状态S1期间的恒稳态。此外,RF脉冲信号被显示为在各图4A-4T中的虚线,而方形脉冲信号被显示为各图4A-4T中的实线。此外,在使用在各图4A-4T中的虚线所示的RF脉冲信号的状态S1期间的恒稳态出现的时间比方形脉冲的恒稳态的时间少。
图4U是电压与时间t的关系曲线图的实施方式的示意图。关系曲线图包括连续波(CW)RF信号450、方形RF信号452和RF脉冲信号454。在一些实施方式中,连续波RF信号具有一个状态,而不是两个或更多个状态。作为一个例子,连续波RF信号具有状态S1或状态S0。作为另一个例子,连续波RF信号不是脉冲的。
与在状态S1期间由方形RF信号452和连续波RF信号450产生的低能离子的数量相比,在状态S1期间RF脉冲信号454产生较高数量的低能离子。较高数量的低能离子改善了衬底叠层100(图1)的处理操作。
在每个图4A-4U中,上升过渡斜坡是用于在从状态S0到状态S1期间实现恒稳态,下降过渡斜坡是用于在从状态S1到状态S0期间实现恒稳态。
图5A是曲线图508的实施方式以说明具有基本无穷大的斜坡的方形RF信号510和512的脉冲上升时间和脉冲下降时间。曲线图508描绘了电压(V)与时间t的关系。方形RF信号510由y或zMHzRF产生器产生,而方形RF信号512由xMHzRF产生器产生。方形RF信号510在从状态S0到状态S1的上升时间期间具有基本上无穷大的斜坡,在从状态S1到状态S0的下降时间具有基本上无穷大的斜坡。同样,方形RF信号512在上升时间期间具有基本上无穷大的斜坡,并在下降时间期间具有基本上无穷大的斜坡。例如,方形RF信号510和512中的每个的上升时间为5微秒或约5微秒,而方形RF信号510和512中的每个的下降时间为5微秒或约5微秒。
图5B是曲线图502的实施方式以说明RF脉冲信号516和518的脉冲上升时间和脉冲下降时间,RF脉冲信号516和518在上升和下降过渡期间具有不是基本上无穷大的斜坡。曲线图502绘出了电压与时间t的关系。RF脉冲信号518由y或zMHzRF产生器产生,而RF脉冲信号516由xMHzRF产生器产生。RF脉冲信号516在从状态S0到状态S1的上升时间期间具有不是基本上无穷大的斜坡,在从状态S1到状态S0的下降时间期间具有不是基本上无穷大的斜坡。同样,RF脉冲信号518在上升时间期间具有不是基本上无穷大的斜坡并在下降时间期间具有不是基本上无穷大的斜坡。例如,每个RF脉冲信号516和518的上升时间为25微秒或约25微秒,每个RF脉冲信号516和518的下降时间是25微秒或约25微秒。
图5C是描绘电压与时间的关系曲线图504的实施方式,以示出具有不同于基本上无穷大斜坡的RF脉冲信号506和520的脉冲上升时间和脉冲下降时间。例如,每个RF脉冲信号506和520的上升时间为50微秒,每个RF脉冲信号506和520的下降时间是50微秒,每个RF脉冲信号506和520的脉冲宽度为150微秒。RF脉冲信号506由y或zMHzRF产生器产生,而RF脉冲信号520由xMHzRF产生器产生。
图6A是多个绘图602、604、606、608和610的实施方式的示意图,以说明低能离子随着RF脉冲信号的上升过渡时间的增加和/或下降过渡时间相比于方形脉冲信号612的过渡时间的增加而增加。绘图602绘出了方形RF脉冲信号612的电压与时间t的关系曲线图。方形脉冲信号612在上升时间期间具有基本上无穷大斜坡,而在下降时间期间具有基本上无穷大斜坡。例如,方形脉冲信号具有5微秒的上升时间,220微秒的恒稳态,以及5微秒的下降时间。作为另一实例,方形脉冲信号具有约5微秒的上升时间,约220微秒的恒稳态时间,和约5微秒的下降时间。
此外,绘图604描绘了RF脉冲信号620的电压与时间t的关系曲线图。RF脉冲信号620的上升时间大于方形RF脉冲信号612的上升时间,RF脉冲信号620的下降时间大于方形RF脉冲信号612的上升时间。此外,RF脉冲信号620的脉冲宽度的时间段小于方形RF脉冲信号612的脉冲宽度的时间段。例如,RF脉冲信号620在状态S1的稳定状态期间具有30微秒的PW4的脉冲宽度,具有100微秒的下降时间,并且具有100微秒的上升时间。作为另一实例,RF脉冲信号620在状态S1的稳定状态期间具有约30微秒的PW4的脉冲宽度,具有约100微秒的下降时间,并且具有约100微秒的上升时间。
各个绘图606、608和610是离子能量分布的绘图。例如,每个绘图606、608和610是由等离子体室206(图2)中的等离子体产生的离子的离子能量与角度θ的关系曲线图,角度θ以离子入射接触孔102(图1)的度数测定。绘图606基于施加连续波RF信号到等离子体室206的卡盘215(图2)而产生。绘图608基于施加方形RF脉冲信号612到卡盘215而产生。绘图610基于具有不是基本上无穷大斜坡的上升过渡和不是基本上无穷大斜坡的下降过渡斜坡的RF脉冲信号620的施加而产生。
如由在绘图608和610中虚线椭圆部所示,在绘图610中所示的等离子体的低能离子的数量大于绘图608中所示的等离子体的低能离子的数量。此外,如由在绘图606和608中虚线椭圆部所示,在绘图608中所示的等离子体的低能离子的数量大于绘图606中所示的等离子体的低能离子的数量。
图6B是示出多个绘图630、632、634和636以说明随着RF脉冲信号的形状的变化低能离子的数量而增加的示意图的实施方式。绘图630是在连续波RF信号被施加到卡盘215(图2)时在等离子体室206(图1)出现的离子能量分布。此外,绘图632是当方形RF脉冲信号被施加到卡盘215时在等离子体室206中出现的离子能量分布。绘图634是当弯曲(例如,钟形、指数,等)的RF脉冲信号被施加到卡盘215时在等离子体室206中出现的离子能量分布。另外,绘图636是当弯曲(例如,正弦,等)的RF脉冲信号被施加到卡盘215时在等离子体室206中出现的离子能量分布。如通过虚线638所示,等离子体室206中产生的等离子体内的低能离子的数量随着RF脉冲信号的形状从方形变化成钟形或指数形进而变化成正弦形而增加。
此外,如由区域640和642所示,当正弦形RF脉冲信号被施加到卡盘215以创建离子能量时,在接触孔102(图1)的离子通量被聚焦。
在各种实施方式中,RF脉冲信号的形状被优化,以增加低能离子的量和/或增加离子通量在接触孔102上的聚焦。例如,离子能量分布测定装置(IEMD)(未示出)(例如,减速场能量分析仪、质谱仪,等)被连接到或放置在等离子体室206内以测量等离子体室206中的等离子体的离子能量分布。IEMD也连接到处理器214(图2)。处理器214接收来自IEMD的离子能量分布,并确定在所述离子能量分布中的离子能量是否大于预定的阈值。一旦确定所述离子能量大于预定的阈值,处理器214就确定改变RF脉冲的形状(例如,从钟形到正弦形,从指数形到正弦形,从方形到具有不同于基本上无穷大斜坡的斜坡的RF脉冲,等)以降低离子能量的量,从而进一步增加在等离子体室206内的等离子体中的低能离子的量,并提高等离子体内的离子能量的聚焦。在一些实施方式中,离子能量通过改变斜坡的形状反复减小,直到离子能量的量小于预定的阈值为止。
在一些实施方式中,一旦确定离子能量大于预定的阈值,处理器214就确定改变与RF脉冲信号的斜坡(例如,上升过渡斜坡,下降过渡斜坡,等)相关联的过渡时间,以减少离子能量的量,并提高在等离子体中离子通量的聚焦。在一些实施方式中,离子能量通过改变斜坡反复减小,直到离子能量的量小于预定阈值为止。在多种实施方式中,针对时钟信号的恒定的工作周期,RF脉冲的斜坡的变化改变RF脉冲的工作周期。
图6C是不同的绘图630、672、636和674的实施方式以说明离子能量分布随着RF脉冲信号的工作周期的变化而变化。当RF脉冲信号676被提供到卡盘215(图2)时产生绘图672。RF脉冲信号676具有工作周期DC1,工作周期DC1小于RF脉冲信号678的工作周期DC2。例如,RF脉冲信号676的状态S1比RF脉冲信号678的状态S1存在较短的时间段,RF脉冲信号678的状态S0比RF脉冲信号676的状态存在较长的时间段。作为另一实例,RF脉冲信号678的工作周期是50%,RF脉冲信号676的工作周期小于50%的工作周期。作为又一实例,在RF脉冲信号678的上升时间期间达到功率量P18比在RF脉冲信号676的上升时间期间达到功率量P18晚,在RF脉冲信号678的下降时间期间达到功率量P18比在RF脉冲信号676的下降时间期间达到功率量P18晚。作为又一实例,在RF脉冲信号678的产生期间达到功率量P24比在RF脉冲信号676的产生时间期间达到功率量P24的时间晚。RF脉冲信号678用于产生绘图636。
此外,当具有工作周期的RF脉冲信号680被提供到卡盘215时产生绘图674。RF脉冲信号680的工作周期DC3大于RF脉冲信号678的工作周期DC2。例如,RF脉冲信号678的状态S1比RF脉冲信号680的状态S1出现的时间段短,RF脉冲信号676的状态S0比RF脉冲信号680的状态出现的时间段长。作为另一实例,RF脉冲信号678的工作周期是50%,RF脉冲信号680的工作周期大于50%的工作周期。作为又一实例,在RF脉冲信号678的上升时间期间达到功率量P18先于在RF脉冲信号680的上升时间期间达到功率量P18,在RF脉冲信号678的下降时间期间达到功率量P18先于在RF脉冲信号680的下降时间期间达到功率量P18。作为又一示例,在RF脉冲信号680的产生期间达到功率量P24比在RF脉冲信号678的产生时间期间达到功率量P24的时间晚。
应当注意的是,当RF脉冲信号678被施加到卡盘215时产生的低能离子的数量大于当RF脉冲信号676被施加到卡盘215或当RF脉冲信号680被施加到卡盘215时产生的低能离子的数量。还应当指出的是,RF脉冲信号676、678和680的形状是相似的,例如,正弦形,等。
在一些实施方式中,RF脉冲信号的工作周期被优化,以产生更大数量的低能离子。例如,工作周期DC2在工作周期DC1和DC3之间。RF脉冲信号的工作周期以类似于上述的方式被优化。例如,IEMD提供离子能量分布给处理器214,处理器214从离子能量分布确定离子能量的量是否小于预定的阈值。在确定离子能量的量小于预定的阈值时,处理器214改变工作周期,例如,从DC1到DC2,从DC3到DC2等,以降低离子能量的量,从而进一步提高等离子体室206内的低能离子的量。在一些实施方式中,工作周期反复下降,直至离子能量的量被确定为小于预定阈值为止。
在多种实施方式中,RF脉冲信号的工作周期具有上升时间、恒稳态时间段、下降时间、形状、状态S0的功率量、和状态S1的功率量。在接收或确定状态S0的功率量、状态S1的功率量、时钟信号的工作周期时,处理器214改变上升时间、恒稳态时间段、下降时间、和/或形状以改变RF脉冲信号的工作周期。
图7是曲线图702的实施方式,以说明随着脉冲上升或下降时间的增加或脉冲顶部宽度(例如,恒稳态时间段、恒稳态,等等)的减少,蚀刻层的选择性提高。例如,相比于当具有上升时间或下降时间不是基本上无穷大斜坡的RF脉冲信号被供给到卡盘215时,当方形脉冲提供到卡盘215(图2)时蚀刻层的选择性是低的。曲线图702描绘了RF脉冲信号的脉冲顶部宽度与RF脉冲信号的脉冲上升或下降时间与用RF脉冲信号实现的氧化物层的选择性的关系曲线图。
曲线图702中的点706、708和710涉及方形RF脉冲信号,以形成基准。此外,曲线图702中的点712涉及具有不是基本上无穷大斜坡的上升过渡斜坡和/或下降过渡斜坡的RF脉冲信号。
应当注意的是,方形RF信号的上升时间比具有不是基本上无穷大斜坡的上升过渡斜坡的RF脉冲信号的上升时间快。还应当指出的是,方形RF信号的下降时间比具有不是基本上无穷大斜坡的下降过渡斜坡的RF脉冲信号的上升时间快。此外,应该指出的是,在方形RF脉冲信号的状态S1的恒稳态期间脉冲宽度大于在具有不是基本上无穷大斜坡的上升过渡斜坡和/或下降过渡斜坡的RF脉冲信号的状态S1的恒稳态期间的脉冲宽度。
在一些实施方式中,选择性被定义为蚀刻所述蚀刻层的速率与蚀刻所述掩模层的速率之比。
图7还示出了曲线图704的实施方式以说明蚀刻所述蚀刻层的速率随着RF脉冲信号的脉冲宽度、上升过渡时间和/或下降过渡时间的变化而最低限度地减小。蚀刻所述蚀刻层的速率是针对选择性蚀刻操作,例如,蚀刻以形成接触孔102(图1)和蚀刻层中的其它类似的接触孔等。曲线图704描绘了RF脉冲信号的状态S1的恒稳态期间的脉冲顶部宽度与RF脉冲信号的脉冲上升时间和/或RF脉冲信号的脉冲下降时间以及与蚀刻衬底叠层100(图1)的蚀刻层的速率的关系。在一些实施方式中,脉冲上升时间为RF脉冲从状态S0达到状态S1的时间量,脉冲下降时间为RF脉冲从状态S1达到状态S0的时间量。
曲线图704中的点714、716和718被示为基准并在使用方形脉冲信号进行选择性蚀刻操作时产生。此外,曲线图704中的点720在使用具有不是基本上无穷大斜坡的上升过渡斜坡和/或下降过渡斜坡的RF脉冲信号进行选择性蚀刻操作时产生。
应当注意的是,使用具有不是基本上无穷大斜坡的上升过渡斜坡和/或下降过渡斜坡的RF脉冲信号实现的蚀刻速率与使用方形脉冲信号实现的蚀刻速率不同(例如,在相近范围内,等)。
图8是曲线图800以示出当具有不是基本上无穷大斜坡的上升过渡斜坡和/或下降过渡斜坡的RF脉冲信号用于进行蚀刻操作时,在跨越衬底叠层100(图1)的表面上蚀刻速率均匀性的改善。曲线图800描绘了在RF脉冲信号的状态S1的恒稳态期间的脉冲顶部宽度与上升时间和/或下降时间与蚀刻层的中心到边缘的蚀刻速率均匀性的关系。
曲线图800中的点802、804和806形成基准并当方形RF脉冲信号被用于蚀刻所述蚀刻层时产生。此外,在具有不是基本上无穷大斜坡的上升过渡斜坡和/或下降过渡斜坡的RF脉冲信号被用于蚀刻所述蚀刻层时产生示意图800中的点808。而且,当RF脉冲信号的脉冲顶部宽度比方形脉冲信号的脉冲顶部宽度较低时,产生点808。如图所示,相比于与点802、804和806相关联的蚀刻速率均匀性,在点808处的衬底叠层100的表面上的接触孔的蚀刻速率均匀性得到提高。
图9是绘图900的实施方式以说明当低能离子增加时归一化的蚀刻速率均匀性的改进。绘图900描绘了蚀刻蚀刻层的归一化的速率与衬底叠层100(图1)的半径的关系。绘图900包括曲线图902,曲线图902示出了当使用具有不是基本上无穷大斜坡的上升过渡斜坡和/或下降过渡斜坡的RF脉冲信号时,衬底叠层100的表面上的整个接触孔的归一化的蚀刻速率。此外,绘图900包括示曲线图904,曲线图904示出了当使用方形脉冲信号时在衬底叠层100的表面上的整个接触孔的归一化的蚀刻速率。相比于曲线图904,曲线图902较均匀。
图10A是用于说明使用直流(DC)电源1004以产生修改的RF脉冲信号1002的系统1000的实施方式的示意图。图10B是在三种状态下操作的RF产生器1010的实施方式的示意图。图10C是曲线图1050的实施方式以说明RF脉冲信号1052的三种状态。曲线图1050描绘了RF脉冲信号的功率与时间t的关系。
系统1000类似于图2的系统200,区别在于:系统1000包括DC电源1004,DC电源1004连接到主机系统210,系统1000的x、y和zMHzRF产生器在RF脉冲信号的三种状态(例如,状态1、状态2和状态3,等等)下操作。此外,IMC204被替换为IMC1070。IMC1070被连接到等离子体系统1000的x、y和zMHzRF产生器与直流电源1004上。
DC电源1004供给固定极性的电功率给下电极。在一些实施方式中,DC电源1004通过通信装置是可编程的,所述通信装置例如应用串行通信协议的通信装置,应用并行通信协议的通信装置,应用RS-232通信协议的通信装置,应用通用接口总线(GPIB)通信协议的通信装置,等。
RF产生器1010类似于图3A的RF产生器302,区别在于:RF产生器1010在三种状态下操作,而不是两种状态。RF产生器1010包括数字信号处理器(DSP),多个功率控制器PWRState1、PWRState2和PWRState3(每个状态一个功率控制器),和多个AFTS,其包括AFTState1、AFTState2和AFTState3。
参考图10A和10C,处理器214从用户经由输入设备接收RF脉冲信号1052的状态1的上升过渡斜坡SLOPE3R,RF脉冲信号1052的状态1的下降过渡斜坡SLOPE3F,以及RF脉冲信号1052的状态2的下降过渡斜坡SLOPE4F。处理器214接收用于与状态1、2和3相关联的恒稳态的功率量。处理器214根据斜坡SLOPE3R、与状态3和状态1相关联的恒稳态的功率量、和具有三种状态的控制信号的周期,确定与斜坡SLOPE3R相关联的功率量和与功率量相关联的定时。例如,RF脉冲信号1052a的处理器214确定在时刻t25达到功率量P25,并进一步确定在时刻t26达到功率量P26,以实现斜坡3R。处理器214确定在时刻t0从功率量P0达到功率量P25。功率量P0具有状态3的恒稳态的性质,功率量P26具有状态1的恒稳态的性质。根据斜坡SLOPE3R和控制信号的周期(例如,针对状态1指定的时间段)确定时刻t25和t26。此外,处理器214根据斜坡SLOPE3F、与状态1和状态2相关联的恒稳态的功率量,和具有三种状态的控制信号的周期,确定与斜坡SLOPE3F相关联的功率量和与功率量相关联的定时。例如,处理器214确定从在时刻t26达到的功率量P26起,将在时刻t28达到功率量P25,以及处理器214计算将在时刻t29达到的功率量P27。功率量P27具有状态2的恒稳态的性质,功率量P26具有状态1的恒稳态的性质。根据斜坡SLOPE3F和控制信号的周期确定时刻t26、t27和t28。
处理器214确定功率量P26被保持在时刻t26和t27之间的时间段。所述时间段是控制信号的三种状态中的第一种状态(例如,对应于状态1的状态,等)期间的剩余时间段。下面进一步描述控制信号的三种状态。
此外,处理器214根据斜坡SLOPE4F、与状态2和状态1相关联的恒稳态的功率量、以及具有三种状态的控制信号的周期,确定与状态2的下降过渡斜坡SLOPE4F相关联的功率量和定时。例如,处理器214根据斜坡SLOPE4F、状态2的恒稳态的功率量P27、状态3的恒稳态的功率量P0,和控制信号的周期的时间段,确定从在时刻t30达到的功率量P27起将在时刻t31达到功率量P28以及在时刻t32达到功率量P0。所述控制信号的周期的时间段是与状态2相关联的状态的时间段。另外,处理器214确定状态3具有功率量P30持续时间段例如,从时刻t32到时刻t33,等。该时间段是控制信号的三种状态中的第三个状态期间的剩余时间段。
具有三种状态的控制信号提供一定数量的状态到所述处理器214。例如,处理器214确定具有三种状态的RF脉冲信号将与所述控制信号的三种状态同步产生。为了进一步说明,处理器214确定当控制信号实现状态1时RF脉冲信号启动用于实现状态1的过渡,当控制信号实现状态2时RF脉冲信号启动用于实现状态2的过渡,以及当控制信号实现状态3时RF脉冲信号启动用于实现状态3的过渡。
在多种实施方式中,功率量P0、P25、P26、P27和P28以及定时t0、t25、t26、t27、t28、t29,t30、t31、t32和t33由处理器214经由输入设备从用户接收,而不是由处理器214所产生。
应当注意的是,时刻t33大于时刻t32,t32大于时刻t31。此外,时刻t31大于时刻t30,时刻30大于时刻29,时刻t29大于时刻t28,时刻t28大于时刻t27。时刻t27大于时刻t26,时刻t26大于时刻t25。时刻t25大于时刻t0。
参考图10A,处理器214经由主机系统210的通信装置和RF产生器1010的通信装置提供与RF脉冲信号1052的三种状态(状态1、状态2和状态3)相关的功率量P0、P25、P26、P27和P28以及定时t0、t25、t26、t27、t28、t29、t30、t31、t32和t33给RF产生器1010的DSP。RF产生器1010的DSP执行类似于RF产生器302的DSP的操作的操作。例如,RF产生器1010的DSP根据从处理器214接收到的功率量和定时确定与状态1相关联的功率量和定时,确定与状态2相关联的功率量和定时,确定与状态3相关联的功率量和定时。
RF产生器1010的DSP提供功率量P0、P25、P26、P27和定时t0、t25、t26、t27、t28和t29给功率控制器PWRState1。此外,RF产生器1010的DSP提供功率量P27、P28和P0以及定时t29、t30和t31给功率控制器PWRState2。RF产生器1010的DSP还提供功率量P0以及定时t32和t33给功率控制器PWRState3。
在状态1期间功率控制器PWRState1驱动RF产生器1010的RF功率源,以使RF功率源能产生针对状态1的RF脉冲信号1052的一部分。类似地,在状态2期间功率控制器PWRState2驱动RF产生器1010的RF功率源,以使RF功率源能产生针对状态2的RF脉冲信号1052的一部分。此外,在状态3期间,功率控制器PWRState3驱动RF产生器1010的RF功率源,以使RF功率源能产生针对状态3的RF脉冲信号1052的一部分。RF产生器1010的RF功率源产生RF脉冲信号1052。
类似地,等离子体系统1000的y和zMHzRF产生器产生RF脉冲信号。例如,y或zMHzRF产生器产生具有与由xMHzRF产生器产生的RF脉冲信号的上升过渡斜坡不同的上升过渡斜坡的RF脉冲信号。作为另一实例,y或zMHzRF产生器产生具有与由xMHzRF产生器产生的RF脉冲信号的下降过渡斜坡不同的下降过渡斜坡的RF脉冲信号。作为又一个例子,y或zMHzRF产生器产生具有与由xMHzRF产生器产生的RF脉冲信号的上升斜坡过渡相同的上升斜坡过渡的RF脉冲信号。作为又一个例子,y或zMHzRF产生器产生具有与由xMHzRF产生器产生的RF脉冲信号的下降斜坡过渡相同的下降斜坡过渡的RF脉冲信号。
IMC1070接收经由相应RF电缆来自等离子体系统1000的x、y和zMHzRF产生器的RF脉冲信号,并合并RF脉冲信号以产生修改的RF脉冲信号1002。在合并RF脉冲信号时,IMC1070将连接到IMC1070的输出的负载的阻抗与连接到IMC1070的输入的电源的阻抗相匹配。连接到IMC1070的输入的电源的例子包括等离子体系统1000的x、y和zMHzRF产生器。经由RF传输线212提供修改的RF脉冲信号1002给卡盘215,以在等离子体室206内产生或维持等离子体。
在各种实施方式,斜坡3F、3R和4F中的一个或多个是弯曲的,例如,指数形、正弦形、钟形,等。在一些实施方式中,斜坡4F与斜坡3F不同。例如,斜坡4F是弯曲的,斜坡3F是直的。作为另一个例子,斜坡4F具有与斜坡3F不同的过渡时间。
在一些实施方式中,斜坡3F具有与斜坡3R的斜坡不同的斜坡。例如,斜坡3F相比于斜坡3R具有较高或较低的过渡时间。
在一些实施方式中,每个斜坡3R、3F、4F不是基本上无穷大斜坡。
在各种实施方式中,功率量P0是零。当由xMHzRF产生器产生功率量P0时,零的功率量使在等离子体室206内等离子体熄灭。在一些实施方式中,功率量P0是正的功率量。
在一些实施方式中,由处理器214接收的控制信号来自控制电路(例如,时钟源、信号产生器、和组合器,等等的组合)。时钟源的时钟信号与来自信号产生器的信号组合(例如,加合,等),以产生具有三种状态的控制信号。
在多种实施方式中,在状态1和状态2中的每个的恒稳态期间,产生高能离子,在状态1期间的剩余时间段期间产生低能离子,在状态2期间的剩余时间段期间产生低能离子。
图10D是曲线图1060的实施方式以说明使用三种状态从方形RF脉冲信号1062产生RF脉冲信号1052。处理器214(图2)设置(例如,识别、确定,等)方形RF脉冲信号1062或RF脉冲信号1052的脉冲频率,该脉冲频率与控制信号的脉冲频率相同。方形RF脉冲信号1062的脉冲频率在高功率电平PLVL2、中等功率电平PLVL3和低功率电平PLVL1之间转换。中等功率电平PLVL3包括在高功率电平PLVL2和低功率电平PLVL1之间的功率量。高功率电平PLVL2被限定在具有上升过渡RT3和下降过渡FT3的包络ENV3中间。在状态1期间包络ENV3具有RF正弦信号的性质并形成方形RF脉冲信号1062的一部分。此外,中等功率电平PLVL3被限定在由从下降过渡FT3的边缘EDGE1开始并延伸直到下降过渡FT4的边缘EDGE2的包络ENV4中间。在状态2期间包络ENV4具有RF正弦信号的性质并形成方形RF脉冲信号1062的一部分。
在一些实施方式中,处理器214经由输入设备从用户接收方形RF脉冲信号1062的或RF脉冲信号1052的脉冲频率。例如,用户通过输入装置选择主机系统210的显示装置所显示的图形用户界面上的图标或标志,以提供指示方形RF脉冲信号1062的或RF脉冲信号1052的脉冲频率的信号。
处理器214设置(例如,识别、确定,等)用于修改方形RF脉冲信号1062的斜坡参数,斜坡参数例如,用于确定方形脉冲信号1062的角度的变化的参数,用于确定方形脉冲信号1062的上升过渡或下降过渡的角度的减小的参数,等。针对上升过渡RT3、下降过渡FT3、和/或下降过渡FT4中的每一个设置斜坡参数。斜坡参数限定上升过渡RT3的上升速率的缩减,下降过渡RT3的下降速率的缩减,和/或下降过渡FT4的下降速率的缩减。例如,斜坡参数增加上升时间以从上升过渡RT3实现上升过渡RT4,另一斜坡参数增加下降时间以从下降过渡FT3实现下降过渡FT5,和/或另一斜坡参数增加下降时间以从下降过渡FT4实现下降过渡FT6。上升过渡RT4是从低功率电平PLVL1到高功率电平PLVL2的过渡。下降过渡FT5是从高功率电平PLVL2到中等功率电平PLVL3的过渡。此外,下降过渡FT5是从中等功率电平PLVL3到低功率电平PLVL1的过渡。为实现上升过渡RT4的上升速率的缩减而使在高功率电平PLVL2下的包络ENV3的脉冲宽度PW6减少至在高功率电平PLVL2下的包络ENV5的脉冲宽度PW7。在状态1期间包络ENV5具有正弦信号的性质并形成RF脉冲信号1052的一部分。此外,为实现下降过渡FT5的下降的速率的缩减而使在高功率电平PLVL2下的包络ENV3的脉冲宽度PW6减少至在高功率电平PLVL2下的包络ENV5的脉宽PW7。另外,为实现下降过渡FT6的下降的速率的缩减而使在中等功率电平PLVL3下的包络ENV4的脉冲宽度PW8减少到在中等功率电平PLVL3下RF脉冲信号1052的包络ENV6的脉冲宽度PW9。相比于低功率电平PLVL1的脉冲宽度PW10的持续时间,高功率电平PLVL2和中等功率电平PLVL3中的每一个具有较短的持续时间,例如,较短的脉冲宽度等。在状态2期间包络ENV6具有正弦信号的性质并形成RF脉冲信号1052的一部分。
在一些实施方式中,与RF脉冲信号1052相关联的斜坡参数以信号的形式经由输入装置接收,用于由处理器214设置。例如,用户经由输入装置选择主机系统210的显示装置所显示的图形用户界面上的图标或标志,以提供指示与RF脉冲信号1052相关联的斜坡参数的信号。
RF脉冲信号1052被提供给等离子体系统200(图2)的下电极。在上升过渡RT4、下降过渡FT4和下降过渡FT5期间发生低能离子的增加。此外,在高功率电平PLVL2下包络ENV5的减小的脉冲宽度PW7期间和中等功率电平PLVL3下包络ENV6的减小的脉冲宽度PW9期间产生高的离子能量。
图11是曲线图1100的实施方式以说明在状态3期间施加直流电功率以提高在蚀刻操作期间蚀刻速率和/或蚀刻速率均匀性。图12是接触孔102的实施方式的示意图。示意图1100描绘了等离子体状态与时间t的关系。在状态3期间,等离子体室206内的等离子体关闭,例如,没有被产生,等。当等离子体被关闭时,例如,在RF脉冲信号1052(图10C)的状态3期间等等,直流电源1004(图10A)经由主机系统210(图10A)的通信设备和直流电源1004的通信设备由处理器214(图10A)控制,以产生一定量的直流功率。在一些实施方式中,在RF脉冲信号1052的状态3期间不关闭等离子体。
DC功率被提供到IMC1070(图10A),IMC1070将连接至IMC1070的负载的阻抗与连接至IMC1070的电源的阻抗相匹配。连接到IMC1070的源电源的例子包括直流电源1040,以及将直流电源1040连接到IMC1070的电缆。直流功率经由RF传输线212提供到卡盘215以在等离子体室206内产生离子。
通过在状态3下的等离子体余辉(图11)期间施加正直流功率给衬底叠层100,在状态2(图11)期间在等离子体室206内产生的负离子朝向衬底叠层100加速。正DC电功率由直流电源1040施加。由加速的负离子产生的负离子通量中和在接触孔102(图12)的底部积累的正电荷。因此,在随后的状态1和2期间被正离子进行的接触孔102的底部的蚀刻的速率增加。
应当注意的是,虽然上述实施方式涉及提供RF信号到卡盘215的下电极并将上电极接地,但在一些实施方式中,RF信号被提供给上电极的卡盘215,而下电极接地。
在一个方面,本文中描述的一些实施方式由各种计算机系统配置来实施,各种计算机系统配置包括手持式硬件设备、微处理器系统、基于微处理器或可编程的消费电子产品、微型计算机、大型计算机等。在一个方面,本文中描述的一些实施方式由分布式计算环境来实施,其中任务由通过计算机网络连接的远程处理硬件设备来执行。
在一些实施方式中,控制器是系统的一部分。系统包括半导体处理设备,包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。系统与用于控制其在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件被称为“控制器”,该控制器控制系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器被编程以使用配方控制本文公开的任何工艺,配方包括工艺气体、温度设置(例如,加热和/或冷却)、压力设置、真空设置、功率设置、RF产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与系统连接上或通过接口连接的装载锁。
宽泛地讲,在各种实施方式中,控制器被定义为具有接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为ASIC的芯片、PLD、一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令是以各种单独设置的形式(或程序文件)通信到控制器、定义用于在或针对半导体晶片执行特定工艺的操作参数的指令。在一些实施方式中,操作参数由工艺工程师定义用于在制备一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实施方式中,控制器是与系统集成、耦合上或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器在“云端”或者fab主机系统的全部或一部分,它们允许远程访问晶片处理。控制器启用远程访问系统以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以接续当前的处理或者开始新的工艺。
在一些实施方式中,远程计算机(例如,服务器)通过计算机网络提供工艺配方到系统,该网络包括本地网络或互联网。远程计算机包括允许输入或编程参数和/或设置的用户界面,该用户界面然后从远程计算机通信到系统。在多种实施方式中,控制器接收用于处理晶片的设置形式的指令。应当理解,设置针对在晶片上将要执行的工艺类型以及控制器连接或控制的工具类型。因此,如上所述,控制器例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的执行工艺)工作。用于这些目的分布式控制器的实例包括与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路。
不希望限制,在各种实施方式中,系统包括等离子体蚀刻室、沉积室、旋转清洗室、金属电镀室、清洁室、倒角边缘蚀刻室、物理气相沉积(PVD)室、化学气相沉积(CVD)室、原子层沉积(ALD)室、原子层蚀刻(ALE)室、离子注入室、轨道室、和/或在半导体晶片的制备和/或制造中关联上或使用的任何其他的半导体处理室。
还应当注意的是,虽然上述操作参照诸如电容耦合等离子体室等平行板等离子体室进行描述,但在一些实施方式中,上述操作适用于其他类型的等离子体室,例如,包括感应耦合等离子体(ICP)反应器,变压器耦合的等离子体(TCP)反应器,导体工具,介电工具的等离子体室,包括电子回旋共振(ECR)反应器的等离子体室,等等。例如,xMHzRF产生器、yMHzRF产生器和zMHzRF产生器被连接至ICP等离子体室中的ESC。
如上所述,根据工具将要执行的工艺操作,控制器与一个或多个其他的工具电路或模块、其他工具组件、组合设备工具、其他工具界面、相邻的工具、邻近的工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器搬运到半导体制造工厂中的工具位置和/或装载口以及从半导体制造工厂中的工具位置和/或装载口搬运晶片的容器的材料搬运中使用的工具通信。
在上述实施方式的基础上,应当理解的是,一些实施方式采用涉及存储在计算机系统中的数据的各种计算机实现的操作。这些计算机实现的操作是操纵物理量的操作。
一些实施方式也涉及用于执行这些操作的硬件单元或装置。所述装置为专用计算机专门构造。当被定义为专用计算机时,该计算机执行不是专用部分的其它处理、程序执行或例程,同时仍然能够进行专用操作。
在一些实施方式中,如本文所述的操作由计算机执行,该计算机被存储在计算机存储器或在计算机网络上获得的一或多个计算机程序选择性地激活或配置。当数据通过计算机网络获得时,该数据可由该计算机网络上的其它计算机(例如云计算资源)进行处理。
本文所述的一或多种实施方式还可被制作为在非暂时性计算机可读介质上的计算机可读代码。非暂时性计算机可读介质是存储数据的任意数据存储硬件单元(例如存储器设备等),其以后被计算机系统读取。非暂时性计算机可读介质的实例包括硬盘驱动器、网络附加存储(NAS)、ROM、RAM、光盘ROM(CD-ROM)、可录式CD(CD-R)、可擦写CD(CD-RW)、磁带及其它光学和非光学数据存储硬件单元。在一些实施方式中,非暂时性计算机可读介质包括分布在网络耦合计算机系统中的计算机可读有形介质,使得计算机可读代码以分布方式被存储和执行。
虽然上述方法操作以特定顺序进行描述,但应当理解,在一些实施方式中,其它内务操作可在方法操作之间执行,或者方法操作可被调整使得它们在略微不同的时间发生,或者可被分布在允许方法操作以各种时间间隔发生的系统中,或以不同于上述顺序的顺序执行。
还应当指出的是,在一种实施方式中,上述任何实施方式的一或多个特征在不背离本公开中所记载的各种实施方式中所描述的范围的情况下与任何其它实施方式的一或多个特征组合。
虽然出于清楚理解的目的已在一定程度上详细描述了前述实施方式,但显而易见的是,可在所附权利要求的范围内实施某些改变和修改。据此,本发明的实施方式应被视为示例性的而非限制性的,且这些实施方式不受限于此处给出的细节,而是可在所附权利要求的范围和等同原则内进行修改。

Claims (26)

1.一种设置等离子体处理系统的操作参数的方法,所述等离子体处理系统用于当衬底层放置在所述等离子体处理系统的电极上时蚀刻所述衬底层,所述方法包括:
设置射频(RF)产生器的脉冲频率,所述RF产生器产生具有所述脉冲频率的RF脉冲信号,所述脉冲频率在低功率电平和高功率电平之间切换,其中,所述高功率电平被限定在具有上升过渡和下降过渡的包络中间;
设置用于修改所述RF脉冲信号的斜坡参数,针对所述包络的所述上升过渡和所述下降过渡中的每个设置所述斜坡参数,所述斜坡参数限定所述上升过渡的上升速率的缩减和所述下降过渡的下降速率的缩减,其中所述上升速率和所述下降速率的所述缩减使在所述高功率电平下的所述包络的减小的脉冲宽度成形,其中,在所述脉冲频率期间所述高功率电平相比于所述低功率电平具有较短的持续时间;以及
供给通过所述斜坡参数修改的所述RF脉冲信号至所述等离子体处理系统的所述电极,其中,当所述RF脉冲信号由所述斜坡参数修改时,在所述上升过渡和下降过渡期间发生低能离子的增加,并且在所述包络的减小的脉冲宽度期间,产生高的离子能量。
2.根据权利要求1所述的方法,其还包括设置所述RF脉冲信号的形状,所述形状与所述上升过渡或所述下降过渡相关联。
3.根据权利要求1所述的方法,其中,所述脉冲频率是在所述高功率电平与所述低功率电平之间所述包络被施以脉冲的频率。
4.根据权利要求1所述的方法,其中,所述RF脉冲信号具有射频,并且所述射频与所述脉冲频率不同。
5.根据权利要求1所述的方法,其中,所述低功率电平具有比所述高功率电平的功率量低的功率量。
6.根据权利要求1所述的方法,其中,所述包络是所述RF脉冲信号的幅度。
7.根据权利要求1所述的方法,其中,所述上升过渡是从所述低功率电平到所述高功率电平的过渡。
8.根据权利要求1所述的方法,其中,所述下降过渡是从所述高功率电平到所述低功率电平的过渡。
9.根据权利要求1所述的方法,其中,所述斜坡参数提供所述RF脉冲信号在所述上升时间期间的角度。
10.根据权利要求1所述的方法,其中,所述斜坡参数提供所述RF脉冲信号在所述下降时间期间的角度。
11.根据权利要求1所述的方法,其中,所述RF脉冲信号被修改为不是方形脉冲信号。
12.根据权利要求1所述的方法,其中,所述上升速率的所述缩减增加所述上升过渡的上升时间,所述上升时间是从所述低功率电平到所述高功率电平的过渡时期。
13.根据权利要求1所述的方法,其中,所述下降速率的所述缩减减少所述上升过渡的下降时间,所述下降时间是从所述高功率电平到所述低功率电平的过渡时期。
14.根据权利要求1所述的方法,其中,所述包络的所述减小的脉冲宽度相比于方形脉冲信号的脉冲宽度减小。
15.根据权利要求1所述的方法,其中,所述较短的持续时间相比于方形脉冲信号的脉冲宽度的持续时间较短。
16.根据权利要求1所述的方法,其中,供给通过所述斜坡参数修改的所述RF脉冲信号包括经由RF电缆、阻抗匹配电路和RF传输线将被修改的所述RF脉冲信号供给到所述电极,其中所述RF产生器经由所述RF电缆耦合到所述阻抗匹配电路,其中所述等离子体室经由所述RF传输线被连接到所述阻抗匹配电路。
17.根据权利要求1所述的方法,其中,所述低能离子相比于具有所述高离子能量的高能离子具有较低的能量。
18.根据权利要求1所述的方法,其还包括优化所述RF脉冲信号的工作周期来增加所述低能离子的量。
19.根据权利要求1所述的方法,其中,所述斜坡参数和所述减小的脉冲宽度使与所述衬底层的氧化层相关联的选择性相比于与方形RF脉冲相关联的选择性增强,其中所述斜坡参数和所述减小的脉冲宽度使与选择性蚀刻相关的蚀刻速率均匀性相比于与所述方形RF脉冲相关联的蚀刻速率均匀性增大。
20.一种用于设置操作参数的等离子体处理系统,所述操作参数用于当衬底层放置在所述等离子体处理系统的电极上时蚀刻所述衬底层,所述等离子体处理系统包括:
射频(RF)产生器,其用于产生RF脉冲信号;以及
主计算机,其用于:
设置所述产生器的脉冲频率,所述RF脉冲信号具有所述脉冲频率,所述脉冲频率在低功率电平和高功率电平之间切换,其中,所述高功率电平被限定在具有上升过渡和下降过渡的包络中间;以及
设置用于修改所述RF脉冲信号的斜坡参数,针对所述包络的所述上升过渡和所述下降过渡中的每个设置所述斜坡参数,所述斜坡参数限定所述上升过渡的上升速率的缩减和所述下降过渡的下降速率的缩减,其中所述上升速率和所述下降速率的所述缩减使在所述高功率电平下的所述包络的减小的脉冲宽度成形,其中,在所述脉冲频率期间所述高功率电平相比于所述低功率电平具有较短的持续时间,
其中,所述RF产生器配置成将通过所述斜坡参数修改的所述RF脉冲信号供给至所述等离子体处理系统的所述电极,
其中,当所述RF脉冲信号通过所述斜坡参数修改时,在所述上升过渡和所述下降过渡期间,发生低能离子的增加,并且在所述包络的减小的脉冲宽度期间,产生高离子能量。
21.根据权利要求20所述的等离子体处理系统,其中所述主计算机进一步被配置成设置所述RF脉冲信号的形状,所述形状与所述上升过渡或下降过渡相关联。
22.根据权利要求20所述的等离子体处理系统,其中所述脉冲频率是在所述高功率电平与所述低功率电平之间所述包络被施以脉冲的频率。
23.根据权利要求20所述的等离子体处理系统,其中所述RF脉冲信号具有射频,并且所述射频与所述脉冲频率不同。
24.一种用于设置等离子体处理系统的操作参数的方法,所述等离子体处理系统用于当衬底层放置在所述等离子体处理系统的电极上时蚀刻所述衬底层,所述方法包括:
设置射频(RF)产生器的脉冲频率,所述RF产生器产生具有所述脉冲频率的RF脉冲信号,所述脉冲频率在低功率电平、中等功率电平和高功率电平之间切换,其中,所述高功率电平被限定在具有上升过渡和第一下降过渡的包络中间,其中所述中等功率电平被限定在从所述第一下降过渡的边缘起直到第二下降过渡的边缘的包络中间;
设置用于修改所述RF脉冲信号的斜坡参数,针对所述上升过渡、所述第一下降过渡和所述第二下降过渡中的每个设置所述斜坡参数,所述斜坡参数限定所述上升过渡的上升速率的缩减、所述第一下降过渡的下降速率的缩减和所述第二下降过渡的下降速率的缩减,其中所述上升速率和所述第一下降过渡的所述下降速率的所述缩减使在所述高功率电平下的所述包络的减小的脉冲宽度成形,其中,所述第二过渡的所述下降速率的所述缩减使在所述中等功率电平下的所述包络的减小的脉冲宽度成形,其中,在所述脉冲频率期间所述高功率电平和所述中等功率电平中的每一个相比于所述低功率电平具有较短的持续时间;以及
供给通过所述斜坡参数修改的所述RF脉冲信号至所述等离子体处理系统的所述电极,其中,当所述RF脉冲信号通过所述斜坡参数修改时,在所述上升过渡、所述第一下降过渡和所述第二下降过渡期间发生低能离子的增加,并且在所述高功率电平和所述中等功率电平下所述包络的所述减小的脉冲宽度期间,产生高离子能量。
25.根据权利要求24所述的方法,其还包括控制直流(DC)功率源,以在所述低功率电平期间供应电功率,从而产生具有与所述衬底层的所述蚀刻相关的电荷相反的电荷的离子,所产生的所述离子减少与所述衬底层的所述蚀刻相关的所述电荷。
26.根据权利要求24所述的方法,其中,所述中等功率电平介于所述高功率电平与所述低功率电平之间。
CN201510934397.6A 2014-12-15 2015-12-15 通过rf脉冲形状进行离子能量控制 Active CN105702550B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810795791.XA CN109103064B (zh) 2014-12-15 2015-12-15 通过rf脉冲形状进行离子能量控制

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/570,859 2014-12-15
US14/570,859 US9536749B2 (en) 2014-12-15 2014-12-15 Ion energy control by RF pulse shape

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201810795791.XA Division CN109103064B (zh) 2014-12-15 2015-12-15 通过rf脉冲形状进行离子能量控制

Publications (2)

Publication Number Publication Date
CN105702550A true CN105702550A (zh) 2016-06-22
CN105702550B CN105702550B (zh) 2018-08-17

Family

ID=56111870

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201810795791.XA Active CN109103064B (zh) 2014-12-15 2015-12-15 通过rf脉冲形状进行离子能量控制
CN201510934397.6A Active CN105702550B (zh) 2014-12-15 2015-12-15 通过rf脉冲形状进行离子能量控制

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201810795791.XA Active CN109103064B (zh) 2014-12-15 2015-12-15 通过rf脉冲形状进行离子能量控制

Country Status (4)

Country Link
US (2) US9536749B2 (zh)
KR (2) KR102575053B1 (zh)
CN (2) CN109103064B (zh)
TW (1) TW201643956A (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108257843A (zh) * 2016-12-28 2018-07-06 三星电子株式会社 等离子体系统以及使用其制造半导体器件的方法
CN109599318A (zh) * 2017-09-13 2019-04-09 朗姆研究公司 多态等离子体晶片处理以增强离子的方向性
CN110249407A (zh) * 2017-02-03 2019-09-17 应用材料公司 在等离子体反应器中用于可调节工件偏压的系统
CN111295731A (zh) * 2017-08-31 2020-06-16 朗姆研究公司 用于实现具有低角分散的峰值离子能量增强的系统和方法
CN114512541A (zh) * 2020-11-17 2022-05-17 和舰芯片制造(苏州)股份有限公司 一种半导体衬底的沟槽栅蚀刻方法及器件

Families Citing this family (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9613784B2 (en) 2008-07-17 2017-04-04 Mks Instruments, Inc. Sputtering system and method including an arc detection
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10821542B2 (en) 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10049857B2 (en) 2014-12-04 2018-08-14 Mks Instruments, Inc. Adaptive periodic waveform controller
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
EP3091559A1 (en) * 2015-05-05 2016-11-09 TRUMPF Huettinger Sp. Z o. o. Plasma impedance matching unit, system for supplying rf power to a plasma load, and method of supplying rf power to a plasma load
US9721758B2 (en) 2015-07-13 2017-08-01 Mks Instruments, Inc. Unified RF power delivery single input, multiple output control for continuous and pulse mode operation
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9876476B2 (en) 2015-08-18 2018-01-23 Mks Instruments, Inc. Supervisory control of radio frequency (RF) impedance tuning operation
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10395895B2 (en) 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
US9947514B2 (en) 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US20170330764A1 (en) * 2016-05-12 2017-11-16 Lam Research Corporation Methods and apparatuses for controlling transitions between continuous wave and pulsing plasmas
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10546724B2 (en) 2017-05-10 2020-01-28 Mks Instruments, Inc. Pulsed, bidirectional radio frequency source/load
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10396601B2 (en) 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
CN109119317B (zh) * 2017-06-23 2020-11-10 北京北方华创微电子装备有限公司 一种偏压调制方法、偏压调制系统和等离子体处理设备
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR101978353B1 (ko) * 2017-09-27 2019-05-14 건국대학교 산학협력단 플라즈마 생성을 위한 에너지 출력 효율 조절 장치 및 방법
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR102003942B1 (ko) * 2017-11-07 2019-07-25 한국원자력연구원 정합 장치를 포함하는 플라즈마 발생 장치 및 임피던스 정합 방법
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
TW202329762A (zh) 2017-11-17 2023-07-16 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
CN111434039A (zh) * 2017-12-07 2020-07-17 朗姆研究公司 用于半导体rf等离子体处理的脉冲内的rf脉冲
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
CN108509377B (zh) * 2018-03-19 2021-07-27 东南大学 一种基于沿特征提取的脉冲信号到达时间与脉宽估计方法
US10304660B1 (en) * 2018-03-21 2019-05-28 Lam Research Corporation Multi-level pulsing of DC and RF signals
US10224183B1 (en) * 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10854427B2 (en) * 2018-08-30 2020-12-01 Applied Materials, Inc. Radio frequency (RF) pulsing impedance tuning with multiplier mode
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
WO2020068107A1 (en) * 2018-09-28 2020-04-02 Lam Research Corporation Systems and methods for optimizing power delivery to an electrode of a plasma chamber
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN109273341B (zh) * 2018-10-18 2021-01-08 北京北方华创微电子装备有限公司 一种等离子体工艺方法
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
KR20210136481A (ko) 2020-05-07 2021-11-17 삼성전자주식회사 플라즈마 공정 시스템, 그 시스템에서의 플라즈마 제어방법, 및 그 제어방법을 포함한 반도체 소자 제조방법
KR20230031836A (ko) * 2020-07-08 2023-03-07 램 리써치 코포레이션 복수의 생성기들 및 위상 제어를 사용하는 이온 에너지 전달을 위한 프로세스 제어
TW202243549A (zh) * 2021-04-22 2022-11-01 大陸商北京屹唐半導體科技股份有限公司 用於感應耦合電漿(icp)負載的雙頻匹配電路
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
WO2023172384A1 (en) * 2022-03-07 2023-09-14 Lam Research Corporation Systems and methods for reducing reflected power after a state transition
WO2023211665A1 (en) * 2022-04-25 2023-11-02 Lam Research Corporation Method to enhance etch rate and improve critical dimension of features and mask selectivity
WO2023235675A1 (en) * 2022-06-03 2023-12-07 Lam Research Corporation Customizing etch selectivity and high aspect ratio feature loading through multi-level pulsing schemes utilizing sinusoidal and custom rf waveforms
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
WO2024091796A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Systems and methods for controlling a pulse width of a square pulse waveform

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030111180A1 (en) * 1998-11-27 2003-06-19 Kazunori Nagahata Plasma etching apparatus
CN101552187A (zh) * 2008-03-31 2009-10-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US20140020831A1 (en) * 2012-07-17 2014-01-23 Hitachi High-Technologies Corporation Plasma processing apparatus
CN103681194A (zh) * 2012-09-14 2014-03-26 朗姆研究公司 边沿缓变

Family Cites Families (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
AU4057999A (en) 1998-06-02 1999-12-20 Nikon Corporation Scanning aligner, method of manufacture thereof, and method of manufacturing device
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7019543B2 (en) 2001-03-16 2006-03-28 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
KR100557842B1 (ko) 2001-12-10 2006-03-10 동경 엘렉트론 주식회사 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20050252884A1 (en) 2002-06-28 2005-11-17 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TWI391035B (zh) 2002-12-16 2013-03-21 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method (1)
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7109098B1 (en) * 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US8192576B2 (en) * 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
ES2688300T3 (es) 2007-11-06 2018-10-31 Creo Medical Limited Aplicador para esterilización por plasma mediante microondas
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
US20100099266A1 (en) 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8909365B2 (en) 2009-11-19 2014-12-09 Lam Research Corporation Methods and apparatus for controlling a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US8855375B2 (en) 2012-01-12 2014-10-07 Kofax, Inc. Systems and methods for mobile image capture and processing
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9114666B2 (en) * 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9368329B2 (en) * 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9171700B2 (en) * 2012-06-15 2015-10-27 COMET Technologies USA, Inc. Plasma pulse tracking system and method
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US8736377B2 (en) * 2012-10-30 2014-05-27 Mks Instruments, Inc. RF pulse edge shaping
JP6257638B2 (ja) 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9721758B2 (en) * 2015-07-13 2017-08-01 Mks Instruments, Inc. Unified RF power delivery single input, multiple output control for continuous and pulse mode operation
US10026592B2 (en) * 2016-07-01 2018-07-17 Lam Research Corporation Systems and methods for tailoring ion energy distribution function by odd harmonic mixing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030111180A1 (en) * 1998-11-27 2003-06-19 Kazunori Nagahata Plasma etching apparatus
CN101552187A (zh) * 2008-03-31 2009-10-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US20140020831A1 (en) * 2012-07-17 2014-01-23 Hitachi High-Technologies Corporation Plasma processing apparatus
CN103681194A (zh) * 2012-09-14 2014-03-26 朗姆研究公司 边沿缓变

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108257843A (zh) * 2016-12-28 2018-07-06 三星电子株式会社 等离子体系统以及使用其制造半导体器件的方法
CN110249407A (zh) * 2017-02-03 2019-09-17 应用材料公司 在等离子体反应器中用于可调节工件偏压的系统
CN111295731A (zh) * 2017-08-31 2020-06-16 朗姆研究公司 用于实现具有低角分散的峰值离子能量增强的系统和方法
CN111295731B (zh) * 2017-08-31 2024-04-09 朗姆研究公司 用于实现具有低角分散的峰值离子能量增强的系统和方法
CN109599318A (zh) * 2017-09-13 2019-04-09 朗姆研究公司 多态等离子体晶片处理以增强离子的方向性
CN109599318B (zh) * 2017-09-13 2023-10-27 朗姆研究公司 多态等离子体晶片处理以增强离子的方向性
CN114512541A (zh) * 2020-11-17 2022-05-17 和舰芯片制造(苏州)股份有限公司 一种半导体衬底的沟槽栅蚀刻方法及器件
CN114512541B (zh) * 2020-11-17 2024-03-15 和舰芯片制造(苏州)股份有限公司 一种半导体衬底的沟槽栅蚀刻方法及器件

Also Published As

Publication number Publication date
CN109103064A (zh) 2018-12-28
US10755895B2 (en) 2020-08-25
KR102575053B1 (ko) 2023-09-04
TW201643956A (zh) 2016-12-16
KR20160072786A (ko) 2016-06-23
US9536749B2 (en) 2017-01-03
CN105702550B (zh) 2018-08-17
US20170084429A1 (en) 2017-03-23
CN109103064B (zh) 2020-08-18
US20160172216A1 (en) 2016-06-16
KR20230129220A (ko) 2023-09-07

Similar Documents

Publication Publication Date Title
CN105702550A (zh) 通过rf脉冲形状进行离子能量控制
TWI716428B (zh) 用於反向脈衝的系統及方法
JP7229232B2 (ja) 小さい角発散でピークイオンエネルギ増強を達成するためのシステムおよび方法
JP7441819B2 (ja) 制御されたエッチングのための単一エネルギイオン生成
US10504744B1 (en) Three or more states for achieving high aspect ratio dielectric etch
US20220319856A1 (en) Etching isolation features and dense features within a substrate
US10304662B2 (en) Multi regime plasma wafer processing to increase directionality of ions
US20230230807A1 (en) Control of mask cd

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant