KR102575053B1 - Rf 펄스 형상에 의한 이온 에너지 제어 - Google Patents

Rf 펄스 형상에 의한 이온 에너지 제어 Download PDF

Info

Publication number
KR102575053B1
KR102575053B1 KR1020150173310A KR20150173310A KR102575053B1 KR 102575053 B1 KR102575053 B1 KR 102575053B1 KR 1020150173310 A KR1020150173310 A KR 1020150173310A KR 20150173310 A KR20150173310 A KR 20150173310A KR 102575053 B1 KR102575053 B1 KR 102575053B1
Authority
KR
South Korea
Prior art keywords
pulse signal
transition
power level
slope
falling
Prior art date
Application number
KR1020150173310A
Other languages
English (en)
Other versions
KR20160072786A (ko
Inventor
알렉세이 마라크타노프
즈강 첸
존 패트릭 홀랜드
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160072786A publication Critical patent/KR20160072786A/ko
Priority to KR1020230115805A priority Critical patent/KR20230129220A/ko
Application granted granted Critical
Publication of KR102575053B1 publication Critical patent/KR102575053B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

이온 에너지의 기울기 제어를 위한 방법이 기술된다. 방법은 에칭 동작이 RF (radio frequency) 펄스 신호를 사용하여 수행되어야 하는 것을 나타내는 설정사항을 수신하는 단계를 포함한다. RF 펄스 신호는 제 1 상태 및 제 2 상태를 포함한다. 제 1 상태는 제 2 상태보다 보다 높은 전력 레벨을 갖는다. 방법은 RF 펄스 신호와 연관된 펄스 기울기를 수신하는 단계를 더 포함한다. 펄스 기울기는 제 1 상태와 제 2 상태 사이의 전이를 제공한다. 또한, 펄스 기울기는 에칭 동작 동안 이온 에너지의 양을 감소시키기 위해 실질적으로 무한하지 않다. 방법은 펄스 기울기를 달성하기 위한 전력 레벨들 및 타이밍들을 결정하는 단계 및 RF 펄스 신호를 생성하도록 전력 레벨들 및 타이밍들을 RF 생성기로 전송하는 단계를 포함한다.

Description

RF 펄스 형상에 의한 이온 에너지 제어{ION ENERGY CONTROL BY RF PULSE SHAPE}
본 실시예들은 RF (radio frequency) 펄스 형상에 의한 이온 에너지 제어를 위한 시스템들 및 방법들에 관한 것이다.
웨이퍼는 플라즈마 시스템을 사용하여 에칭된다. 웨이퍼는 반도체 재료로 이루어진 기판이고 집적 회로들을 제작하기 위해 전자공학에서 사용된다. 다양한 동작들, 예를 들어, 에칭, 도핑, 이온 주입, 증착, 포토리소그래픽 패터닝, 등은 웨이퍼 상에 집적 회로들을 형성하기 위해 웨이퍼 상에서 수행된다. 그 후 집적 회로들은 다이싱되고 패키징된다.
현재 고종횡비 (HAR) 콘택트 에칭 기술, 예를 들어, HAR>50:1, 등은 고에너지 이온들을 생성하기 위해 상당히 낮은 RF 신호들을 사용한다. 그러나, 고 이온 에너지는 상당한 마스크 손실을 야기한다.
또한, 펄싱된 플라즈마들은 통상적으로 폴리머를 증착하고 콘택트를 에칭하기 위해 저전력 플라즈마 에너지 레짐 및 고전력 플라즈마 에너지 레짐을 사용하는 보다 양호한 마스크 선택도를 위해 사용된다. 폴리머는 적은 양의 전력을 사용하여 증착되고 콘택트는 많은 양의 전력을 사용하여 에칭된다. 그러나, 대부분의 마스크는 고전력 펄스 동안, 예를 들어, 2 메가헤르츠 (㎒) 플라즈마가 저에너지 이온들을 생성하지 않거나 적은 양의 저에너지 이온들을 생성할 때 손실된다.
이러한 맥락에서 본 개시에 기술된 실시예들이 발생한다.
본 개시의 실시예들은 RF 펄스 형상에 의해 이온 에너지 제어를 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 실시예들은 다수의 방식들, 예를 들어, 프로세스, 장치, 시스템, 디바이스, 또는 비일시적인 컴퓨터-판독가능 매체 상의 방법으로 구현될 수 있다는 것이 이해되어야 한다. 몇몇 실시예들이 이하에 기술된다.
다양한 실시예들에서, 플라즈마 프로세싱 시스템의 전극 상에 위치될 때 기판층을 에칭하기 위해 사용된 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법이 기술된다. 방법은 RF (radio frequency) 생성기의 펄싱 주파수를 설정하는 단계를 포함하고, RF 생성기는 펄싱 주파수를 갖는 RF 펄스 신호를 생성한다. 펄싱 주파수는 저전력 레벨과 고전력 레벨 사이에서 스위칭한다. 고전력 레벨은 상승 전이와 하강 전이를 갖는 인벨롭 사이에서 규정된다. 방법은 RF 펄스 신호를 수정하기 위해 기울기 파라미터를 설정하는 단계를 더 포함한다. 기울기 파라미터는 인벨롭의 상승 전이 및 하강 전이 각각에 대해 설정된다. 기울기 파라미터는 상승 전이에 대한 상승 레이트의 감소 및 하강 전이에 대한 하강 레이트의 감소를 규정한다. 상승 레이트 및 하강 레이트의 감소는 고전력 레벨에서 인벨롭의 감소된 펄스 폭을 성형한다 (shape). 고전력 레벨은 펄싱 주파수 동안 저전력 레벨보다 보다 짧은 지속기간을 갖는다. 방법은 기울기 파라미터에 의해 수정된 RF 펄스 신호를 플라즈마 프로세싱 시스템의 전극에 공급하는 단계를 포함한다. RF 펄스 신호가 기울기 파라미터에 의해 수정될 때, 저에너지 이온들의 증가는 상승 전이 및 하강 전이 동안 발생하고, 고 이온 에너지는 인벨롭의 감소된 펄스 폭 동안 생성된다.
일부 실시예들에서, 플라즈마 프로세싱 시스템의 전극 상에 위치될 때 기판층을 에칭하기 위해 사용된 동작 파라미터들을 설정하기 위한 플라즈마 프로세싱 시스템이 기술된다. 플라즈마 프로세싱 시스템은 RF 펄스 신호를 생성하기 위한 RF 생성기를 포함한다. 플라즈마 프로세싱 시스템은 호스트 컴퓨터를 더 포함한다. 호스트 컴퓨터는 RF 생성기의 펄싱 주파수를 설정한다. RF 펄스 신호는 펄싱 주파수를 갖고, 펄싱 주파수는 저전력 레벨과 고전력 레벨 사이에서 스위칭한다. 고전력 레벨은 상승 전이와 하강 전이를 갖는 인벨롭 사이에서 규정된다. 호스트 컴퓨터는 또한 RF 펄스 신호를 수정하기 위해 기울기 파라미터를 설정한다. 기울기 파라미터는 인벨롭의 상승 전이 및 하강 전이 각각에 대해 설정된다. 기울기 파라미터는 상승 전이에 대한 상승 레이트의 감소 및 하강 전이에 대한 하강 레이트의 감소를 규정한다. 상승 레이트 및 하강 레이트의 감소는 고전력 레벨에서 인벨롭의 감소된 펄스 폭을 성형한다. 고전력 레벨은 펄싱 주파수 동안 저전력 레벨보다 보다 짧은 지속기간을 갖는다. RF 생성기는 기울기 파라미터에 의해 수정된 RF 펄스 신호를 플라즈마 프로세싱 시스템의 전극으로 공급한다. 저에너지 이온들의 증가는 RF 펄스 신호가 기울기 파라미터에 의해 수정될 때 상승 전이 및 하강 전이 동안 발생하고, 고 이온 에너지는 인벨롭의 감소된 펄스 폭 동안 생성된다.
플라즈마 프로세싱 시스템의 전극 상에 위치될 때 기판층을 에칭하기 위해 사용된 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법이 기술된다. 방법은 RF 생성기의 펄싱 주파수를 설정하는 단계로서, RF 생성기는 펄싱 주파수를 갖는 RF 펄스 신호를 생성한다. 펄싱 주파수는 저전력 레벨, 중전력 레벨 및 고전력 레벨 사이에서 스위칭한다. 고전력 레벨은 상승 전이와 제 1 하강 전이를 갖는 인벨롭 사이에서 규정되고, 중전력 레벨은 제 1 하강 전이의 에지로부터 시작하고 제 2 하강 전이의 에지까지의 인벨롭 사이에서 규정된다. 방법은 RF 펄스 신호를 수정하기 위해 기울기 파라미터를 설정하는 단계를 더 포함한다. 기울기 파라미터는 상승 전이, 제 1 하강 전이 및 제 2 하강 전이 각각에 대해 설정된다. 기울기 파라미터는 상승 전이에 대한 상승 레이트의 감소, 제 1 하강 전이에 대한 하강 레이트의 감소, 및 제 2 하강 전이에 대한 하강 레이트의 감소를 규정한다. 상승 레이트 및 제 1 하강 전이에 대한 하강 레이트의 감소는 고전력 레벨에서 인벨롭의 감소된 펄스 폭을 성형하고, 제 2 하강 전이에 대한 하강 레이트의 감소는 중전력 레벨에서 인벨롭의 감소된 펄스 폭을 성형한다. 고전력 레벨 및 중전력 레벨 각각은 펄싱 주파수 동안 저전력 레벨보다 보다 짧은 지속기간을 갖는다. 방법은 기울기 파라미터에 의해 수정된 RF 펄스 신호를 플라즈마 프로세싱 시스템의 전극에 공급하는 단계를 포함한다. RF 펄스 신호가 기울기 파라미터에 의해 수정될 때, 저에너지 이온들의 증가는 상승 전이, 제 1 하강 전이 및 제 2 하강 전이 동안 발생하고, 고 이온 에너지는 고전력 레벨과 중전력 레벨에서 인벨롭들의 감소된 펄스 폭 동안 생성된다.
몇몇 실시예들에서, 방법은 에칭 동작이 RF 펄스 신호를 사용하여 기판의 스택 상에서 수행된다는 것을 나타내는 설정사항을 수신하는 단계를 포함한다. RF 펄스 신호는 제 1 상태 및 제 2 상태를 포함한다. 제 1 상태는 제 2 상태보다 보다 높은 전력 레벨을 갖는다. 또한, RF 펄스 신호는 수정된 신호를 생성하기 위해 수정되도록 RF 생성기로부터 임피던스 매칭 회로로 전송되고, 수정된 신호는 플라즈마 챔버로 전송된다. 방법은 RF 펄스 신호와 연관된 펄스 기울기를 수신하는 단계를 더 포함한다. 펄스 기울기는 제 1 상태와 제 2 상태 사이의 전이를 RF 생성기에 제공한다. 또한, 펄스 기울기는 에칭 동작 동안 고에너지를 갖는 이온들의 양을 감소시키기 위해 실질적으로 무한대 이외의 기울기이다. 방법은 펄스 기울기를 달성하기 위한 전력 레벨들 및 타이밍들을 결정하는 단계 및 RF 펄스 신호를 생성하기 위해 RF 생성기로 전송하는 단계를 포함한다.
다양한 실시예들에서, 방법은 에칭 동작이 제 1 상태 및 제 2 상태를 포함하는 RF 펄스 신호를 사용하여 기판 스택 상에서 수행되는 것을 나타내는 설정사항을 수신하는 단계를 포함한다. 제 1 상태는 제 2 상태보다 보다 높은 전력 레벨을 갖는다. RF 펄스 신호는 수정된 신호를 생성하기 위해 수정되도록 임피던스 매칭 회로로 전송되고, 수정된 신호는 플라즈마 챔버로 전송된다. 방법은 RF 펄스 신호와 연관된 펄스 기울기를 수신하는 단계를 더 포함한다. 펄스 기울기는 제 2 상태로부터 제 1 상태로의 전이를 RF 생성기에 제공한다. 펄스 기울기는 에칭 동작 동안 고에너지를 갖는 이온들의 양을 감소시키기 위해 실질적으로 무한대 이외의 기울기이다. 방법은 또한 펄스 기울기를 달성하기 위한 전력 레벨들 및 타이밍들을 결정하는 단계, RF 펄스 신호를 생성하기 위해 전력 레벨들 및 타이밍들을 RF 생성기로 전송하는 단계, 및 RF 펄스 신호와 연관된 부가적인 기울기를 수신하는 단계를 포함한다. 부가적인 기울기는 제 1 상태로부터 제 3 상태로의 전이를 제공하고 고에너지를 갖는 이온들의 양을 감소시키기 위해 실질적으로 무한대 이외의 기울기이다. 방법은 부가적인 기울기를 달성하기 위한 전력 레벨들 및 타이밍들을 결정하는 단계, RF 펄스 신호를 생성하기 위해 부가적인 기울기를 달성하기 위한 전력 레벨들 및 타이밍들을 RF 생성기로 전송하는 단계, 및 RF 펄스 신호와 연관된 또 다른 부가적인 기울기를 수신하는 단계를 포함한다. 다른 부가적인 기울기는 제 3 상태로부터 제 2 상태로의 전이를 제공하고 고에너지를 갖는 이온들의 양을 감소시키기 위해 실질적으로 무한대 이외의 기울기이다. 방법은 부가적인 기울기를 달성하기 위한 전력 레벨들 및 타이밍들을 결정하는 단계 및 RF 펄스 신호를 생성하기 위해 부가적인 기울기를 달성하기 위한 전력 레벨들 및 타이밍들을 RF 생성기로 전송하는 단계를 포함한다.
몇몇 실시예들에서, 방법은 에칭 동작이 제 1 상태와 제 2 상태 사이에서 전이하는, RF 펄스 신호를 사용하여 수행된다는 지표를 수신하는 단계를 포함한다. RF 펄스 신호는 임피던스 매칭 회로를 통해 플라즈마 챔버에 커플링된, RF 생성기에 의해 생성된다. 제 1 상태는 제 2 상태보다 보다 높은 전력 레벨들을 갖는다. 방법은 펄스에 의해 생성될 고에너지를 갖는 이온들의 양이 미리 결정된 문턱값보다 보다 작다는 지표를 수신하는 단계를 더 포함한다. 방법은 또한 에칭 동작이 수행되고 고에너지를 갖는 이온들의 양이 미리 결정된 문턱값보다 보다 작다는 지표의 수신시 에칭 동작을 수행하기 위해 제 1 상태와 연관된 복수의 전력 레벨들을 결정하는 단계를 포함한다. 방법은 전력 레벨들을 달성하기 위한 타이밍을 결정하는 단계를 포함한다. 타이밍들은 RF 펄스 신호의 제 1 상태 동안 상승 시간 및 하강 시간을 제공한다. 상승 시간은 제 2 상태로부터 제 1 상태를 달성하기 위한 시간이고 하강 시간은 제 1 상태로부터 제 2 상태를 달성하기 위한 시간이다. 상승 시간의 기울기 또는 하강 시간의 기울기는 실질적으로 무한대 이외의 기울기이다.
본 명세서에 기술된 시스템들 및 방법들의 다양한 실시예들의 일부 장점들은 프로세싱 동작, 예를 들어, 에칭 동작, 스퍼터링 동작, 증착 동작, 이들의 조합, 등 동안 저에너지 이온들의 수를 증가시키는 단계를 포함한다. 저에너지 이온들은 구형 펄스 이외의 형상으로 RF 펄스의 형상을 변화시킴으로써 증가된다. 고에너지 이온 플럭스에 대한 저에너지 이온들의 비의 제어는 마스크층의 표면 상 및 콘택트 홀의 하단부에서 폴리머 증착 레이트 및 에칭 레이트의 제어를 용이하게 한다.
본 명세서에 기술된 시스템들 및 방법들의 다른 장점들은 저에너지 이온들이 기판의 폴리머화, 예를 들어, 폴리머를 사용한 증착, 등을 용이하게 하고 그리고 기판 상에 증착된 마스크층을 보호한다는 것이다. 마스크층은 고에너지 이온들과 비교하여 저에너지 이온들에 의한 부식에 보다 덜 취약하다. 또한 폴리머화는 기판 스택의 에칭층의 에칭의 블랭킷 에칭 레이트를 억제하는 것을 돕는다.
다른 양태들은 첨부 도면들과 함께 취해진, 이하의 상세한 기술로부터 자명해질 것이다.
실시예들은 첨부된 도면들과 함께 취해진 이하의 기술을 참조함으로써 최상으로 이해될 수도 있다.
도 1은 본 개시에 기술된 실시예들에 따른, 에칭 동작을 예시하기 위한 기판 스택의 도면이다.
도 2는 본 개시에 기술된 실시예들에 따른, 저에너지 이온들을 생성하기 위해 수행된 프로세싱 동작을 예시하기 위한 플라즈마 시스템의 도면이다.
도 3a는 본 개시에 기술된 실시예들에 따른, 도 2의 플라즈마 시스템의 RF 생성기를 사용하는 저에너지 이온들의 생성을 예시하기 위한 시스템의 도면이다.
도 3b는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 다양한 기울기들로부터 전력 레벨들의 생성을 예시하기 위한 도면이다.
도 3c는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 다양한 기울기들을 예시하기 위해 사용된 도면이다.
도 3d는 도 2의 플라즈마 시스템의 플라즈마 챔버 내에서 저에너지 이온들을 생성하기 위한 구형 펄스 신호의 전이 시간의 변화를 예시하기 위한 그래프의 실시예이다.
도 4a는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간을 플롯팅한다.
도 4b는 본 개시에 기술된 실시예들에 따른, 상승 전이 기울기가 하강 전이 기울기보다 보다 큰, RF 펄스 신호의 전력 또는 전압을 플롯팅한다.
도 4c는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4d는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4e는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4f는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4g는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4h는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4i는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4j는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4k는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4l은 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4m은 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4n은 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4o는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4p는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4q는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4r은 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4s는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4t는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 전력 또는 전압 대 시간의 플롯의 도면이다.
도 4u는 본 개시에 기술된 실시예들에 따른, 전압 대 시간의 플롯의 도면이다.
도 5a는 본 개시에 기술된 실시예들에 따른, 실질적으로 무한대의 기울기들을 갖는 구형 RF 신호들의 펄스 상승 시간들 및 펄스 하강 시간들을 예시하기 위한 그래프이다.
도 5b는 본 개시에 기술된 실시예들에 따른, 실질적으로 무한대의 기울기들 이외의 기울기들을 갖는 RF 펄스 신호들의 펄스 상승 시간들 및 펄스 하강 시간들을 예시하기 위한 그래프이다.
도 5c는 본 개시에 기술된 실시예들에 따른, 실질적으로 무한대의 기울기들 이외의 기울기들을 갖는 RF 펄스 신호들의 펄스 상승 시간들 및 펄스 하강 시간들을 예시하기 위한 그래프이다.
도 6a는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 상승 시간의 증가 및/또는 하강 시간의 증가를 갖는 다수의 저에너지 이온들의 증가를 예시하기 위한 복수의 플롯들의 도면이다.
도 6b는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호들의 형상들의 변화를 갖는 다수의 저에너지 이온들의 증가를 예시하기 위한 복수의 플롯들을 도시하는 도면이다.
도 6c는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 듀티 사이클의 변화를 갖는 이온 에너지 분포의 변화를 예시하기 위한 상이한 플롯들을 예시한다.
도 7은 본 개시에 기술된 실시예들에 따른, 에칭층의 선택도가 증가하는 펄스 상단 폭의 감소 또는 펄스 상승 시간 또는 펄스 하강 시간의 증가를 예시하기 위한 그래프이다.
도 8은 본 개시에 기술된 실시예들에 따른, 실질적으로 무한대의 기울기 이외의 상승 전이 기울기 및/또는 하강 전이 기울기를 갖는 RF 펄스 신호가 에칭 동작을 수행하기 위해 사용될 때, 기판 스택의 표면에 걸친 에칭 레이트 균일도의 개선을 예시하기 위한 그래프이다.
도 9는 본 개시에 기술된 실시예들에 따른, 저에너지 이온들이 증가될 때, 정규화된 에칭 레이트 균일도의 개선을 예시하기 위한 플롯이다.
도 10a는 본 개시에 기술된 실시예들에 따른, 수정된 RF 펄스 신호를 생성하기 위해 DC 전력 공급부의 사용을 예시하기 위한 시스템의 도면이다.
도 10b는 본 개시에 기술된 실시예들에 따른, 3 상태에서 동작하는 RF 생성기의 도면이다.
도 10c는 본 개시에 기술된 실시예들에 따른, RF 펄스 신호의 3 상태들을 예시하기 위한 그래프이다.
도 10d는 본 개시에 기술된 실시예들에 따른, 구형 RF 펄스 신호로부터 RF 펄스 신호를 생성하기 위한 3 상태들의 사용을 예시하기 위한 그래프이다.
도 11은 본 개시에 기술된 실시예들에 따른, 에칭 동작 동안 에칭 레이트를 증가시키기 위해, 상태 3 동안 DC 전력의 인가를 예시하기 위한 도면이다.
도 12는 본 개시에 기술된 실시예들에 따른, 에칭 레이트를 증가시키기 위한 DC 전력 공급부의 사용을 예시하기 위한 콘택트 홀의 도면이다.
이하의 실시예들은 RF 펄스 형상에 의한 이온 에너지 제어를 위한 시스템들 및 방법들을 기술한다. 본 실시예들은 이들 구체적인 상세들의 전부 또는 일부가 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
도 1은 에칭 동작을 예시하기 위한 기판 스택 (100) 의 실시예의 도면이다. 기판 스택 (100) 은 기판층, 에칭층, 및 마스크층을 포함한다. 에칭층은 때때로 본 명세서에서 기판층으로 참조된다. 에칭층은 기판층의 상단부를 덮고 (overlaid) 마스크층은 에칭층의 상단부를 덮는다. 에칭층의 예들은 실리콘 다이옥사이드층 실리콘 나이트라이드층, 실리콘 다이옥사이드 및 실리콘 나이트라이드를 포함하는 층, 탄소층으로 덮인 실리콘 다이옥사이드층, 실리콘 옥시카바이드, 등을 포함한다. 에칭층은 마스크층, 예를 들어, 포토레지스트층, 등으로 덮인다. 마스크층은 다수의 콘택트 홀들, 예를 들어, 개구부들, 콘택트 홀 (102), 등을 갖는다.
일부 실시예들에서, 콘택트 홀 (102) 은 실질적으로 수직이거나 수직인 측벽을 갖고 RF 생성기에 제공된 설정사항으로서 명시된, 고종횡비를 갖는다. 설정사항들 및 RF 생성기들의 추가 기술은 이하에 제공된다.
에칭층은 에칭 동작 동안 플라즈마 에칭되고 마스크층은 에칭층의 구역들이 에칭되지 않도록 보호한다. 에칭층은 에칭층을 통해 콘택트 홀 (102) 을 연장하도록 에칭된다. 피처들, 예를 들어, 금속 상호접속 와이어들, 도전체들, 등은 기판 상에 형성된 콘택트 홀들 내에 형성된다. 일부 실시예들에서, 콘택트 홀들은 캐패시터 트렌치들로서 사용된다.
플라즈마는 이하에 더 기술될, 플라즈마 챔버 내에서 생성되고, 플라즈마는 고에너지 이온들, 예를 들어, RF 펄스 신호의 안정 상태 동안 고전력량을 공급함으로써 형성된다. 고전력량의 예들은 5000 W, 10000 W, 15000 W, 5000 W 내지 15000 W의 양, 등을 포함한다. 일부 실시예들에서, 고전력량은 구형 펄싱된 RF 신호의 상태 S1 동안 생성된 전력량을 포함한다. 상태 S1은 이하게 더 기술된다.
마스크층은 결국, 예를 들어, 더이상 필요하지 않을 때, 등에 레지스트 스트립퍼 또는 플라즈마 함유 산소 또는 화학적 용액, 등을 사용하여 제거된다.
도 2는 광 에너지 분포를 갖는 저에너지 이온들을 생성하기 위해 수행된, 프로세싱 동작, 예를 들어, 에칭 동작, 스퍼터링 동작, 증착 동작, 이들의 조합, 등을 예시하기 위한 플라즈마 시스템 (200) 의 실시예의 도면이다. 시스템 (200) 은 메가헤르츠 (㎒) RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기를 포함한다.
x ㎒ RF 생성기의 예는 2 ㎒의 동작 주파수를 갖는 RF 생성기를 포함하고, y ㎒ RF 생성기의 예는 27 ㎒의 동작 주파수를 갖는 RF 생성기를 포함하고, z ㎒ RF 생성기의 예는 60 ㎒의 동작 주파수를 갖는 RF 생성기를 포함한다. 일부 실시예에서, x ㎒의 동작 주파수는 x-X ㎒ 내지 x+X ㎒의 범위의 동작 주파수를 포함하고, y ㎒의 동작 주파수는 y-Y ㎒ 내지 y+Y ㎒의 범위의 동작 주파수를 포함하고, z ㎒의 동작 주파수는 z-Z ㎒ 내지 z+Z ㎒의 범위의 동작 주파수를 포함하고, 여기서, "X", "Y", 및 "Z" 각각은 양의 실수이다. 예를 들어, 2 ㎒의 동작 주파수는 1.8 ㎒ 내지 2.2 ㎒의 범위의 동작 주파수를 포함한다. 또 다른 예로서, 60 ㎒의 동작 주파수는 57 ㎒ 내지 63 ㎒의 범위의 동작 주파수를 포함한다.
시스템 (200) 은 호스트 시스템 (210), 임피던스 매칭 회로 (IMC) (204), 및 플라즈마 챔버 (206) 를 더 포함한다. 호스트 시스템 (210) 은 x ㎒ RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기 각각에 연결된다. x ㎒ RF 생성기는 RF 케이블을 통해 IMC (204) 에 커플링된다. 유사하게, y ㎒ RF 생성기 및 z ㎒ RF 생성기는 대응하는 RF 케이블들을 통해 IMC (204) 에 커플링된다. IMC (204) 는 RF 송신선 (212) 을 통해 플라즈마 챔버 (206) 의 척 (215) 에 연결된다. 척 (215) 의 예들은 정전 척 (ESC), 또 다른 타입의 척, 등을 포함한다.
일부 실시예들에서, RF 송신선 (212) 은 일 단부에서 RF 스트랩에 커플링되고 또 다른 단부에서 척 (215) 에 커플링된 RF 실린더를 더 포함하는 바이어스 하우징을 포함한다. RF 스트랩은 IMC (204) 에 연결된다.
호스트 시스템 (210) 은 프로세서 (214), 메모리 디바이스 (도 2에서 "M"으로 지정됨), 통신 디바이스 (CD), 입력 디바이스, 입력/출력 (I/O) 인터페이스를 포함한다. 입력 디바이스는 I/O 인터페이스를 통해 프로세서 (214) 에 연결된다. 입력 디바이스의 예들은 키보드, 터치스크린, 키패드, 마우스, 스타일러스, 등을 포함한다. 또한, 프로세서의 예들은 CPU (central processing unit), 제어기, ASIC (application specific integrated circuit), PLD (programmable logic device), FPGA (field programmable gate array), 등을 포함한다. 게다가, 메모리 디바이스의 예들은 ROM (read-only memory), RAM (random access memory), 하드디스크, 휘발성 메모리, 비휘발성 메모리, 스토리지 디스크그들의 리던던트 어레이, 플래시 메모리등을 포함한다. 통신 디바이스는 데이터의 병렬 통신, 또는 데이터의 직렬 통신, 또는 이들의 조합을 용이하게 한다.
플라즈마 챔버 (206) 는 상부 전극을 더 포함한다. 상부 전극은 전기적으로 도전성인 재료, 예를 들어, 알루미늄, 알루미늄의 합금, 저저항성 실리콘, 등으로 이루어진다. 상부 전극은 척의 하부 전극에 반대 편에 위치되고 척의 하부 전극과 마주본다. 상부 전극은 접지되고, 예를 들어, 기준 전압에 커플링되고, 0 전압에 커플링되고, 음의 전압에 커플링되는 등한다.
다양한 실시예들에서, 척 (215) 의 하부 전극은 금속, 예를 들어, 양극산화된 알루미늄, 알루미늄의 합금, 등으로 이루어진다. 일부 실시예들에서, 척 (215) 은 하부 전극 및 하부 전극에 대해, 예를 들어, 하부 전극의 상단부 상, 등에 위치된 세라믹층을 포함한다. 다양한 실시예들에서, 척 (215) 은 하부 전극, 세라믹층, 및 하부 전극에 대해, 예를 들어, 하부 전극 아래, 등에 위치된 설비 플레이트를 포함한다. 기판 스택 (100) 은 프로세싱을 위해, 예를 들어, 기판 상에 재료들을 증착하거나, 기판 스택 (100) 을 세정하거나, 기판 스택 (100) 으로부터 증착 층들을 에칭하거나, 기판을 도핑하거나, 기판 스택 (100) 을 스퍼터링하거나, 또는 이들의 조합을 위해 척 (215) 의 상단 표면 (217) 상에 위치된다.
일부 실시예들에서, 호스트 시스템 대신, 서버 또는 가상 머신이 사용된다. 예를 들어, 서버 또는 가상 머신은 호스트 시스템 (210) 에 의해 수행되는 것으로 본 명세서에 기술된 동일한 기능들을 실행한다.
일부 실시예들에서, 플라즈마 시스템 (200) 은 임의의 수의 동작 RF 생성기들을 포함한다. 예를 들어, x ㎒ RF 생성기는 동작중이고, 예를 들어, 가동되고, 전력 온되는, 등이고, y ㎒ RF 생성기 및 z ㎒ RF 생성기는 비-동작중이다. 또 다른 예로서, x ㎒ RF 생성기 및 y ㎒ RF 생성기는 동작중이고 z ㎒ RF 생성기는 비-동작중이다.
도 3a는 플라즈마 시스템 (200) (도 2) 의 RF 생성기 (302) 를 사용하는 저에너지 이온들의 생성을 예시하기 위한 시스템 (300) 의 실시예의 도면이고, 도 3b는 RF 펄스 신호 (202) (도 2) 의 다양한 기울기들로부터 전력 레벨들의 생성을 예시하기 위한 도면이고, 도 3c는 다양한 기울기들을 예시하기 위해 사용된 도면이다. RF 생성기 (302) 는 x ㎒ RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기 중 어느 하나의 예이다.
프로세서 (214) 는 수행될 프로세싱 동작의 타입을 입력 디바이스를 통해 사용자로부터 수신한다. 예를 들어, 프로세서 (214) 는 기판 스택 (100) 상에서 수행될 에칭 동작, 증착 동작, 스퍼터링 동작, 세정 동작, 또는 이들의 조합, 등을 나타내는 신호를 수신한다.
프로세서 (214) 는 RF 펄스 신호, 예를 들어, RF 생성기 (302) 등에 의해 생성될 RF 펄스 신호 (202), 등의 하나 이상의 기울기들을 입력 디바이스를 통해 사용자로부터 수신한다. 예를 들어, 기울기, 예를 들어, RF 펄스 신호 (202) 의 상승 전이 동안 달성될, 도 3b 및 도 3c에 도시된 RF 펄스 신호 (352) 의 Slope 1R, 도 3b 및 도 3c에 도시된 RF 펄스 신호 (354) 의 Slope 2R, 등이 수신되고, 기울기, 예를 들어, RF 펄스 신호 (202) 의 하강 전이 동안 달성될 Slope 1F, Slope 2F, 등이 수신된다. 상승 전이는 상태 S0, 예를 들어, 저 상태, 등으로부터 상태 S1, 예를 들어, 고 상태, 등으로의 전이이다. 고 상태는 저 상태보다 보다 높은 전력량 레벨을 갖는다. 예를 들어, 고 상태의 전체 전력량은 저 상태의 전체 전력량보다 보다 크다. 일부 실시예들에서, 전력 레벨은 하나 이상의 전력량들을 포함한다.
또한, 안정 상태와 연관된 전력량들, 예를 들어, 상태 S1 및 상태 S0 각각에 대한, 펄스 폭은 입력 디바이스를 통해 사용자로부터 프로세서 (214) 에 의해 수신된다. RF 펄스 신호의 안정 상태는 이하에 더 기술된다.
프로세서 (214) 는 수신된 하나 이상의 기울기들, 안정 상태와 연관된 전력량들, 및 클록 소스, 예를 들어, 클록 오실레이터, PPL (phase-locked loop) 에 커플링된 클록 오실레이터, 등으로부터 수신된 클록 신호의 클록 사이클에 기초하여 RF 생성기 (302) 에 의해 생성될 RF 펄스 신호의 전력량들 및 타이밍들을 결정한다. 예를 들어, 도 3b 및 도 3c를 참조하여, 프로세서 (214) 는, Slope 1R을 달성하기 위해, RF 펄스 신호 (352) 는 시간 t1 동안 전력량 P11을 달성하고 시간 t3 동안 전력량 P13을 달성한다고 결정한다. 프로세서 (214) 는, 시간 t0에서 달성된 전력량 P0으로부터 전력량 P11이 달성된다고 결정한다. 전력량 P0은 상태 S0의 안정 상태와 연관되고 전력량 P13은 상태 S1의 안정 상태와 연관된다. 시간들 t0, t1, 및 t3은 클록 사이클의 듀티 사이클 및 Slope 1R로부터 결정된다. 또한, 프로세서 (214) 는, Slope 1F를 달성하기 위해, RF 펄스 신호 (352) 는 시간 t3에서 전력량 P13으로부터 시간 t5 동안 전력량 P11을 달성하고, 그 후 시간 t6에서 전력량 P0을 달성한다고 결정한다. 시간들 t3, t5, 및 t6는 클록 사이클의 듀티 사이클 및 Slope 1F로부터 결정된다.
또 다른 예로서, 도 3b 및 도 3c를 참조하여, 프로세서 (214) 는, Slope 2R을 달성하기 위해, RF 펄스 신호 (354) 는 시간 t0에서 전력량 P0으로부터 시간 t1에서 전력량 P12를 달성한다고 결정하고, RF 펄스 신호 (354) 는 시간 t2에서 전력량 P13을 달성한다고 결정한다. 시간들 t0, t1, 및 t2는 클록 사이클의 듀티 사이클 및 Slope 2R로부터 결정된다. 또한, 프로세서 (214) 는, RF 펄스 신호 (354) 의 Slope 2F를 달성하기 위해, 전력량 P12는 시간 t4에서 전력량 P13으로부터 시간 t5에 달성되고, 전력 레벨 P0는 시간 t6에 달성된다고 결정한다. 시간들 t4, t5, 및 t6은 클록 사이클 및 Slope 2F로부터 결정된다.
타이밍들 t1 내지 t6은 연속적인 순서라는 것을 주의해야 한다. 예를 들어, 시간 t6은 시간 t5보다 크고, 시간 t5는 시간 t4보다 크고, 시간 t3은 시간 t2보다 크고, 시간 t2는 시간 t1보다 크고, 시간 t1은 시간 t0보다 크다.
또한, 클록 신호의 듀티 사이클 동안 상승 전이 기울기 및 하강 전이 기울기에 기초하여, 프로세서 (214) 는 상태 S1의 안정 상태에 대해 발생할 시간량을 산출한다. 예를 들어, 클록 신호의 듀티 사이클 동안 상승 전이 기울기 및 하강 전이 기울기에 대한 전력량들 및 타이밍들 결정시, 프로세서 (214) 는, 전력량 P13은 나머지 시간 기간 동안, 예를 들어, 클록 신호의 듀티 사이클의 펄스 폭 PW1에 대응하는, 펄스 폭 PW2, 등에 대응하여 유지된다고 결정한다. 추가의 예시를 위해, RF 펄스 신호 (354) 의 Slope 2R 및 Slope 2F를 달성하기 위해, 프로세서 (214) 는, 상태 S1에 대한 안정 상태는 시간 t2 내지 시간 t4의 시간 기간 동안 유지된다고 결정한다. 또 다른 예로서, RF 펄스 신호 (352) 의 Slope 1R 및 Slope 1F를 달성하기 위해, 프로세서 (214) 는 상태 S1에 대한 안정 상태는 시간 t3 동안 유지된다고 결정한다.
다양한 실시예들에서, 상태 동안 안정 상태, 예를 들어, 상태 S1, 등은 전력량들 중 하나의 미리 결정된 범위 내에 있는 하나 이상의 전력량들을 포함하고, 미리 결정된 범위는 반대되는 안정 상태, 예를 들어, 상태 S0, 등의 하나 이상의 전력량들의 미리 결정된 범위 밖이다. 예를 들어, 상태 S1의 안정 상태는 1950 W 내지 2050 W의 범위의 전력량들을 포함하고 상태 S0의 안정 상태는 0 W 내지 20 W의 범위의 전력량들을 포함한다.
다양한 실시예들에서, 고에너지 이온들은 상태 S1의 안정 상태 동안 생성되고 저에너지 이온들은 상태 S1 동안 나머지 시간 기간 동안 생성된다.
클록 사이클은 생성될 RF 펄스 신호의 다수의 상태들을 나타낸다. 예를 들어, 클록 사이클은 두 상태들 (S1 및 S0) 과 연관된 전력 레벨들 및 타이밍들을 갖는 RF 펄스 신호가 생성된다는 것을 프로세서 (214) 에 지시하기 위해 두 상태들 (S1 및 S0) 을 갖는다. RF 펄스 신호의 두 상태들은 클록 신호의 두 상태들과 동기하여 생성된다. 예시를 위해, 프로세서 (214) 는, RF 펄스 신호는 클록 신호가 상태 S1을 달성할 때 상태 S1을 달성하기 위한 전이를 개시하고, RF 펄스 신호는 상태 S0을 달성할 때 상태 S0을 달성하기 위한 전이를 개시한다고 결정한다.
다양한 실시예들에서, 하나 이상의 기울기들 대신, 프로세서 (214) 는 RF 생성기 (302) 에 의해 생성될 RF 펄스 신호의 전력량들 및 타이밍들을 입력 디바이스를 통해 사용자로부터 수신한다. 예를 들어, 프로세서 (214) 는 RF 펄스 신호 (352) 를 생성하기 위해, 전력량 P11은 시간 t1에서 달성되고, 전력량 P13은 시간 t3에서 달성되고, 전력량 P11은 시간 t5에서 달성되고, 그리고 전력량 P0은 시간 t6에서 달성되는 것을 나타내는 신호들을 수신한다. 신호들은 또한 전력량 P11은 시간 t0에서 전력량 P0이 달성된 후에 달성된다는 것을 나타낸다. 또 다른 예로서, 프로세서 (214) 는 RF 펄스 신호 (354) 를 생성하기 위해, 전력량 P12는 시간 t1에서 달성되고, 전력량 P13은 시간 t2에서 달성되고, 전력량 P13은 시간 t4까지의 시간 기간 동안 유지되어야 하고, 전력량 P12는 시간 t5에서 달성되고, 전력량P0은 시간 t6에서 달성되어야 한다는 것을 나타내는 신호들을 수신한다. 전력량 P12는 전력량 P0로부터 시간 t0에서 달성된다.
일부 실시예들에서, 하나 이상의 기울기들 대신, 프로세서 (214) 는 RF 생성기의 RF 펄스에 의해 생성될 이온 에너지의 양이 미리 결정된 문턱값보다 보다 작다는 신호를 입력 디바이스를 통해 사용자로부터 수신한다. 예를 들어, 구형 펄스 신호를 사용하여 생성된 고에너지를 갖는 이온들의 양은 미리 결정된 문턱값보다 보다 많고, 실질적으로 무한대의 기울기 이외의 상승 전이 기울기 및/또는 실질적으로 무한대의 기울기 이외의 하강 전이 기울기를 갖는 RF 펄스 신호를 사용하여 생성된 고에너지를 갖는 이온들의 양은 미리 결정된 문턱값보다 보다 적다. 프로세서 (214) 는 미리 결정된 문턱값보다 보다 적은 양의 이온 에너지를 더 생성하기 위해 RF 펄스 신호의 생성을 용이하게 하도록 전력량들 및 타이밍들을 결정한다. 이온 에너지의 양은 이온 에너지가 미리 결정된 문턱값보다 보다 작은지 여부를 결정하기 위해 이하에 더 기술되는, IEMD (ion energy distribution measurement device) (미도시) 를 사용하여 측정된다. 이온 에너지가 미리 결정된 문턱값보다 보다 작다고 결정하는 방식이 이하에 기술된다. 프로세서 (214) 는 이온 에너지가 미리 결정된 문턱값보다 크다는 결정시 전력량들 및 타이밍들을 수정한다. 전력량들 및 타이밍들은 미리 결정된 문턱값보다 보다 작도록 이온 에너지를 감소시키기 위해 수정된다.
도 3c는 전력량들 (P0, P11, P12, 및 P13) 을 예시하고, 전력량들과 연관된 타이밍들 (t0 내지 t6) 을 예시하기 위한 그래프 (350) 의 실시예를 도시한다. 그래프 (350) 는 RF 로드에서 측정된 전위 대 시간을 플롯팅한다. 그래프 (350) 는 각각이 RF 펄스 신호 (202) (도 2) 의 예인, 2개의 RF 펄스 신호들 (352 및 354) 을 포함한다는 것을 주의한다. 상승 전이, RF 펄스 신호 (352) 의 예를 들어, Slope 1R, 등은 하강 전이, RF 펄스 신호 (352) 의 예를 들어, Slope 1F, 등과 교차한다. 한편, 상승 전이, RF 펄스 신호 (354) 의 예를 들어, Slope 2R, 등은 하강 전이, RF 펄스 신호 (354) 의 예를 들어, Slope 2F, 등과 교차하지 않는다. RF 펄스 신호 (354) 의 상승 전이는 상태 S1의 안정 상태에 의해 RF 펄스 신호 (354) 의 하강 전이로부터 분리된다.
RF 펄스 신호 (354) 는 상태 S1의 안정 상태 동안 PW1의 펄스 폭을 갖는다. 또한, RF 펄스 신호 (352) 는 상태 S1의 안정 상태 동안 PW2의 펄스 폭을 갖는다. 또한, 구형 펄스 신호 (356) 는 상태 S1의 안정 상태 동안 PW3의 펄스 폭을 갖는다. 펄스 폭 PW2는 펄스 폭 PW3보다 보다 작은 펄스 폭 PW1보다 보다 작다는 것을 주의해야 한다.
RF 펄스 신호의 RF 펄스의 펄스 폭 PW1에 의해 점유된 시간 기간, RF 펄스의 상승 전이, 및 RF 펄스의 하강 전이는 RF 펄스 신호의 듀티 사이클을 형성한다. 듀티 사이클은 클록 신호, 예를 들어, TTL (transistor-transistor logic) 신호, 등과 동기된다. 예를 들어, 고레벨의 클록 신호는 RF 펄스 신호의 듀티 사이클 동안 발생한다.
Slope 1R, Slope 2R, Slope 1F, 및 Slope 2F 각각은 구형 펄스 신호 (356) 의 실질적으로 무한대의 기울기보다 보다 작다는 것을 주의해야 한다. 예를 들어, 230 ㎲ 동안 지속하는 클록 신호 또는 TTL 신호의 듀티 사이클에 대해, RF 펄스 신호 (354) 의 상승 시간은 100 ㎲ 또는 대략 100 ㎲이다. RF 펄스 신호 (354) 의 상승 시간은 5 ㎲ 또는 대략 5 ㎲인 구형 펄스 신호 (356) 의 상승 시간보다 보다 크다. 또한, RF 펄스 신호 (354) 의 하강 시간은 100 ㎲ 또는 대략 100 ㎲이다. RF 펄스 신호 (354) 의 하강 시간은 5 ㎲ 또는 대략 5 ㎲인 구형 펄스 신호 (356) 의 하강 시간보다 보다 크다. 또한, RF 펄스 신호 (354) 에 대한 상태 S1 동안 안정 상태 시간은 30 ㎲ 또는 대략 30 ㎲이고 구형 펄스 신호 (356) 에 대한 상태 S1 동안 안정 상태 시간은 220 ㎲ 또는 대략 220 ㎲이다.
실질적으로 무한대의 기울기를 갖지 않는 RF 펄스 신호의 전이 시간들, 예를 들어, 상승 시간들, 하강 시간들, 등의 다른 예들은 230 ㎲의 듀티 사이클에 대해 7 ㎲ 내지 114.5 ㎲의 시간 범위를 포함한다. 또한, 안정 상태가 실질적으로 무한대의 기울기를 갖지 않는 RF 펄스 신호의 상태 S1에 대해 유지되는 시간들의 다른 예들은 230 ㎲의 듀티 사이클에 대해 1 ㎲ 내지 216 ㎲의 시간 범위를 포함한다.
도 3a에 대해, RF 펄스 신호, 예를 들어, RF 펄스 신호 (352), RF 펄스 신호 (354), 등의 상태들 (S1 및 S0) 과 연관된 전력량들 및 타이밍들은 호스트 시스템 (210) 의 통신 디바이스를 통해 프로세서 (214) 에 의해 RF 생성기 (302) 의 통신 디바이스로 제공된다. RF 생성기 (302) 의 통신 디바이스는 호스트 시스템 (210) 로부터 수신된 상태들 (S1 및 S0) 에 대한 전력량들 및 타이밍들을 RF 생성기 (302) 의 DSP (digital signal processor) 에 제공한다.
RF 생성기 (302) 의 DSP는 RF 생성기 (302) 의 통신 디바이스로부터 상태들 (S1 및 S0) 에 대한 전력량들 및 타이밍들을 수신하고, 상태 S0에 대한 전력량들 및 타이밍들 및 상태 S1에 대한 전력량들 및 타이밍들을 식별한다. 예를 들어, DSP는 상태 S1에 대한 전력량들 및 타이밍들로부터 상태 S0에 대한 전력량들 및 타이밍들을 구별한다.
DSP는 상태 S1에 대한 전력량들 및 타이밍들을 RF 생성기 (302) 의 전력 제어기 PWRS1로 전송한다. 예를 들어, DSP는 RF 펄스 신호 (352) (도 3a 및 도 3b) 의 상태 S1과 연관된 전력량들 (P0, P11, P13), 및 타이밍들 (t1, t3, t5, 및 t6) 을 전력 제어기 PWRS1로 전송한다. 또 다른 예로서, DSP는 RF 펄스 신호 (354) (도 3a 및 도 3b) 의 상태 S1과 연관된 전력량들 (P12, P13, 및 P0), 및 타이밍들 (t1, t2, t4, t5, 및 t6) 을 전력 제어기 PWRS1로 전송한다. 또한, DSP는 상태 S0에 대한 전력량들 및 타이밍들을 RF 생성기 (302) 의 전력 제어기 PWRS0으로 전송한다. 예로서, DSP는 RF 펄스 신호 (352) 의 상태 S0에 대한 전력량 P0 및 타이밍들 (t0 및 t6) 을 전력 제어기 PWRS0으로 전송한다. 또 다른 예로서, DSP는 RF 펄스 신호 (354) 의 상태 S0에 대한 전력량 P0 및 타이밍들 (t0 및 t6) 을 전력 제어기 PWRS0으로 전송한다.
전력 제어기 PWRS1 및 전력 제어기 PWRS0 각각은, 예를 들어, 트랜지스터, 하나 이상의 트랜지스터, 등을 통해, RF 생성기 (302) 의 RF 전력 공급부 (304) 를 구동한다. 예를 들어, 전력 제어기 PWRS1은 상태 S1 동안 상태 S1에 대한 전력량들 및 타이밍들을 RF 전력 공급부 (304) 에 제공함으로써 RF 전력 공급부 (304) 를 구동하고, 전력 제어기 PWRS0은 상태 S0 동안 상태 S0에 대한 전력량들 및 타이밍들을 RF 전력 공급부 (304) 에 제공함으로써 RF 전력 공급부 (304) 를 구동한다. RF 전력 공급부 (304) 는 RF 펄스 신호, 예를 들어, RF 펄스 신호 (352), RF 펄스 신호 (354), 등을 생성하기 위해 상태들 S1 및 S0 동안 구동된다.
RF 전력 공급부 (304) 에 의해 생성된 RF 펄스 신호는 RF 전력 공급부 (304) 로부터 IMC (204) (도 2) 로 전송된다. 유사하게, y ㎒ RF 생성기 및 z ㎒ RF 생성기에 의해 생성된 RF 펄스 신호들은 IMC (204) 에 제공된다. IMC (204) 는 x ㎒ RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기로부터 RF 펄스 신호들을 수신하고, 펄스 신호인 수정된 RF 신호 (208) (도 2) 를 생성하기 위해 RF 펄스 신호들을 결합한다.
RF 펄스 신호들을 결합하는 동안, IMC (204) 는 수정된 RF 신호 (208) 를 생성하기 위해 IMC (204) 의 출력에 연결된 부하의 임피던스와 IMC (204) 의 입력에 연결된 소스의 임피던스를 매칭시킨다. 소스의 예들은 x RF 생성기, y RF 생성기, 및 z RF 생성기 및 RF 생성기들을 IMC (204) 에 커플링하는 RF 케이블들을 포함한다. 부하의 예들은 RF 송신선 (212) (도 2) 및 플라즈마 챔버 (206) (도 2) 를 포함한다. 수정된 RF 신호 (208) 는 IMC (204) 에 의해 RF 송신선 (212) 을 통해 척 (215) (도 2) 으로 제공된다.
수정된 RF 신호 (208) 가 IMC (204) 로부터 척 (215) 으로 공급될 때, 프로세스 가스, 예를 들어, 산소-함유 가스, 불소-함유 가스, 탄소 및 불소를 함유하는 가스, 등이 상부 전극 내의 가스 유입부들을 통해 상부 전극과 척 (215) 사이에 공급된다. 산소-함유 가스의 예는 산소를 포함하고, 불소-함유 가스의 예들은 테트라플루오로메탄 (CF4), 술포 헥사플루오라이드 (SF6), 헥사플루오로에탄 (C2F6), C4F6 가스, C4F3 가스, C3F8 가스, 등을 포함한다. 프로세스 가스 및 수정된 RF 신호 (208) 양자가 플라즈마 챔버 (206) 로 공급될 때, 플라즈마는 생성되거나 플라즈마 챔버 (206) 내에서 유지된다.
일부 실시예들에서, RF 생성기의 전력 제어기 각각은 RF 생성기의 DSP의 일부이다. 예를 들어, RF 생성기 (302) 의 전력 제어기 PWRS0 및 전력 제어기 PWRS1은 RF 생성기 (302) 의 DSP에 의해 실행된 컴퓨터 코드의 부분이다.
다양한 실시예들에서, RF 생성기 (302) 는 본 명세서에서 AFTS0으로 참조되는 상태 S0에 대한 AFT (auto frequency tuner), 본 명세서에서 AFTS1로 참조되는 상태 S1에 대한 또 다른 AFT를 포함한다. DSP는 상태 S1에 대한 하나 이상의 주파수들 및 상태 S1에 대한 주파수들과 연관된 타이밍들을 수신하고, 프로세서 (214) 로부터 상태 S0에 대한 하나 이상의 주파수들 및 상태 S0에 대한 주파수들과 연관된 타이밍들을 호스트 시스템 (210) 의 통신 디바이스 및 RF 생성기 (302) 의 통신 디바이스를 통해 수신한다. DSP는 상태 S1에 대한 하나 이상의 주파수들을 상태 S0에 대한 하나 이상의 주파수들로부터 구별한다. DSP는 상태 S0에 대한 하나 이상의 주파수들 및 주파수들과 연관된 타이밍들을 AFTS0으로 제공하고, 상태 S1에 대한 하나 이상의 주파수들 및 주파수들과 연관된 하나 이상의 타이밍들을 AFTS1로 제공한다. AFTS1은 상태 S1에 대한 주파수들 및 타이밍들을 달성하기 위해 드라이버를 통해 RF 전력 공급부 (304) 를 구동하고, 그리고 AFTS0은 상태 S0에 대한 주파수들 및 타이밍들을 달성하기 위해 드라이버를 통해 RF 전력 공급부 (304) 를 구동한다. RF 전력 공급부 (304) 는 상태 S0와 연관된 주파수들 및 타이밍들을 갖고 상태 S1과 연관된 주파수들 및 타이밍들을 갖는 RF 펄스 신호를 생성한다.
다양한 실시예들에서, RF 생성기의 AFT 각각은 RF 생성기의 DSP의 일부이다. 예를 들어, RF 생성기 (302) 의 AFTS0 및 AFTS1은 RF 생성기 (302) 의 DSP에 의해 실행된 컴퓨터 코드의 부분이다.
일부 실시예들에서, 상승 시간 기울기 및 하강 시간 기울기에 더하여, 상승 시간 기울기 및/또는 하강 시간 기울기의 형상은 프로세서 (214) 에 의해 입력 디바이스를 통해 사용자로부터 수신된다. 예를 들어, 상승 전이 기울기가 커브된 형상, 예를 들어, 사인 형상, 지수 형상 (exponential shape), 등 또는 직선 형상, 예를 들어, 선의 형상, 등의 기울기라는 것을 나타내는 신호 및 상태 S0으로부터 상태 S1로의 상승 전이를 달성하기 위한 시간 기간이 사용자로부터 입력 디바이스를 통해 수신된다. 이 예에서, 하강 전이 기울기가 커브된 형상, 예를 들어, 사인 형상, 지수 형상, 등 또는 직선 형상의 기울기라는 것을 나타내는 신호 및 상태 S1로부터 상태 S0으로의 하강 전이를 달성하기 위한 시간 기간이 사용자로부터 입력 디바이스를 통해 수신된다. 프로세서 (214) 는 전이의 형상, 전이의 시간 기간, 및 클록 신호의 듀티 사이클에 기초하여 상기 기술된 것과 유사한 방식으로 전력량들 및 타이밍들을 결정한다.
도 3d는 플라즈마 챔버 (206) (도 2) 내에서 저에너지 이온들을 생성하기 위해 구형 펄스 신호 (356) 의 전이 시간에서의 변화를 예시하기 위한 그래프 (360) 의 실시예이다. 그래프 (360) 는 RF 펄스 신호의 전력 대 시간 t를 플롯팅한다. 프로세서 (214) (도 2) 는, RF 생성기 (302) (도 3a) 의 펄싱 주파수를 설정, 예를 들어, 결정, 식별, 등을 한다. 예를 들어, RF 생성기 (302) 의 펄싱 주파수는 구형 펄스 신호 (356) 또는 RF 펄스 신호 (354) 를 생성하도록 설정된다. RF 펄스 신호 (354 및 356) 의 펄싱 주파수는 동일하다는 것을 주의해야 한다. 구형 펄스 신호 (356) 의 펄싱 주파수는 RF 펄스 신호 (356) 의 인벨롭 ENV1이 저전력 레벨, 예를 들어, PLVL1, 등과 고전력 레벨, 예를 들어, PLVL2, 등 사이에서 전환하는, 주파수이다. 예를 들어, 구형 펄스 신호 (356) 의 펄싱 주파수는 시간 T0과 시간 t0 사이의 차의 역이다. 인벨롭 ENV1은 사인 신호이고 상태 S1 동안 구형 펄스 신호 (356) 의 일부를 형성한다.
고전력 레벨 LVL1은 저전력 레벨 LVL2의 전력량보다 보다 많은 전력량을 갖는다. 고전력 레벨은 상승 전이 RT1 및 하강 전이 FT1을 갖는 인벨롭 ENV1 사이에서 규정된다. 상승 전이 RT1은 저전력 레벨 PLVL1로부터 고전력 레벨 PLVL2로의 전이이다. 하강 전이 FT1은 고전력 레벨 PLVL2로부터 저전력 레벨 PLVL1로의 전이이다.
일부 실시예들에서, RF 생성기 (302) 의 펄싱 주파수는 프로세서 (214) 에 의한 설정을 위해 입력 디바이스를 통해 신호로서 수신된다. 예를 들어, 사용자는 펄싱 주파수를 나타내는 신호를 제공하기 위해 입력 디바이스를 통해 호스트 시스템 (210) 의 디스플레이 디바이스 상에 디스플레이된 그래픽 사용자 인터페이스 상의 아이콘 또는 심볼을 선택한다. 디스플레이 디바이스의 예들은 발광 다이오드 디스플레이, 액정 디스플레이, CRT (cathode ray tube) 디스플레이, 및 플라즈마 디스플레이를 포함한다.
또한, 프로세서 (214) 는 구형 펄스 신호 (356) 를 수정하기 위한 파라미터, 예를 들어, 구형 펄스 신호 (356) 의 각의 변화를 결정하기 위한 파라미터, 구형 펄스 신호 (356) 의 상승 전이 또는 하강 전이의 각의 감소를 결정하기 위한 파라미터, 등을 설정한다. 일부 실시예들에서, 기울기 파라미터는 프로세서 (214) 에 의한 설정을 위해 입력 디바이스를 통해 신호의 형태로 수신된다. 예를 들어, 사용자는 기울기 파라미터를 나타내는 신호를 제공하기 위해 입력 디바이스를 통해 호스트 시스템 (210) 의 디스플레이 디바이스 상에 디스플레이된 그래픽 사용자 인터페이스 상의 아이콘 또는 심볼을 선택한다. 기울기 파라미터는 RF 펄스 신호 (354) 의 인벨롭 ENV2의 상승 전이 RT2 및 하강 전이 FT2를 달성하기 위해 설정된다. 인벨롭 ENV2는 사인 신호이고 상태 S1 동안 RF 펄스 신호 (354) 의 일부를 형성한다. 상승 전이 RT2는 저전력 레벨 PLVL1로부터 고전력 레벨 PLVL2로의 전이이다. 하강 전이 FT2는 고전력 레벨 PLVL2로부터 저전력 레벨 PLVL1로의 전이이다.
기울기 파라미터는 상승 전이 RT1에 대한 상승 레이트와 비교하여, 상승 전이 RT2의 상승 레이트의 감소를 규정한다. 상승 레이트의 감소는 상승 전이 RT1에 대한 상승 시간과 비교하여, 상승 전이 RT2에 대한 상승 시간을 증가시킨다. 예를 들어, 상승 시간, 예를 들어, 시간 t2와 시간 t0 사이의 시간은 상승 시간, 예를 들어, 시간 t0 또는 대략 시간 t0에서의 상승 시간, 등보다 보다 크다. 기울기 파라미터는 또한 하강 전이 FT1에 대한 하강 레이트와 비교하여, 하강 전이 FT2에 대한 하강 레이트의 감소를 규정한다. 하강 레이트의 감소는 하강 전이 FT1에 대한 하강 시간과 비교하여, 하강 전이 FT2에 대한 하강 시간을 증가시킨다. 예를 들어, 하강 시간, 예를 들어, 시간 t6과 시간 t4 사이의 시간은 하강 시간, 예를 들어, 시간 t6 또는 대략 시간 t6, 등에서의 하강 시간보다 보다 크다. 상승 레이트 및 하강 레이트의 감소는 고전력 레벨 PLVL2에서 인벨롭 ENV2의 감소된 펄스 폭 PW1을 성형한다. 펄스 폭 PW1은 구형 펄스 신호 (356) 의 펄스 폭 PW3와 비교하여 감소된다.
또한, 고전력 레벨 PLVL2는 RF 펄스 신호 (354) 의 펄싱 주파수 동안 저전력 레벨 PLVL1 보다 보다 짧은 지속기간을 갖는다. 예를 들어, 펄스 폭 PW1은 저전력 레벨 PLVL1 동안 RF 펄스 신호 (354) 의 펄스 폭 PW5보다 보다 짧다.
RF 펄스 신호 (354) 는 플라즈마 챔버 (206) 의 하부 전극에 공급된다. RF 펄스 신호 (354) 가 하부 전극에 공급될 때, 상승 전이 RT2 및 하강 전이 FT2 동안 저에너지 이온들의 증가가 발생한다. 또한, 고 이온 에너지는 인벨롭 ENV2의 펄스 폭 PW1 동안 생성된다.
일부 실시예들에서, 프로세서 (214) 는 RF 펄스 신호의 형상, 예를 들어, 사인 RF 펄스 신호, 종 형상 RF 펄스 신호, 지수 RF 펄스 신호, 등을 설정, 예를 들어, 결정, 식별, 등을 한다. RF 펄스 신호의 형상은 RF 펄스 신호의 상승 전이, RF 펄스 신호의 하강 전이, 및/또는 상승 전이와 하강 전이 사이의 안정 상태의 형상을 포함한다. 일부 실시예들에서, RF 펄스 신호의 형상은 입력 디바이스를 통해 신호로서 수신된다. 예를 들어, 사용자는 RF 펄스 신호의 형상에 관한 신호를 제공하도록 입력 디바이스를 통해 호스트 시스템 (210) 의 디스플레이 디바이스 상에 디스플레이된 그래픽 사용자 인터페이스 상의 아이콘 또는 심볼을 선택한다.
RF 펄스 신호 (354) 는 인벨롭 ENV2의 주파수와 상이한 무선 주파수를 갖는다는 것을 주의한다. 예를 들어, 무선 주파수는 사인 신호의 주파수이고 사인 신호의 인벨롭 ENV2의 주파수보다 보다 크다.
도 4a 내지 도 4u는 RF 펄스 신호들의 인벨롭들의 다양한 형상들을 예시하기 위한 그래프의 실시예이다. 예를 들어, RF 펄스 신호 (402) 의 전력 또는 전압 대 시간 t를 플롯팅하는 도 4a에서, RF 펄스 신호 (402) 의 인벨롭은 다각형 형상을 갖는다. RF 펄스 신호의 인벨롭의 예는 RF 펄스 신호의 진폭들을 포함한다. RF 펄스 신호 (402) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다.
도시된 바와 같이, RF 펄스 신호 (402) 는 사인 형상을 갖고 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호 (402') 의 인벨롭이다. 유사하게, 본 명세서에 기술된 RF 펄스 신호들, 예를 들어, RF 펄스 신호 (352), RF 펄스 신호 (354), RF 펄스 신호 (356), (도 3c), 등은 RF 생성기 (302) 에 의해 생성된 대응하는 사인 펄스 신호들의 인벨롭들이다.
상태 S1 동안 RF 펄스 신호 (402) 의 상승 전이 기울기 A1은 상태 S1 동안 RF 펄스 신호 (402) 의 하강 전이 기울기 B1보다 보다 크다. 상승 전이 기울기 A1의 상승 시간은 하강 전이 기울기 B1의 하강 시간보다 보다 작다.
상태 S1 동안 상승 전이 기울기 A1은 구형 펄스 신호의 실질적으로 무한대의 기울기 (404) 보다 보다 작고 상태 S1 동안 하강 전이 기울기 B1은 구형 펄스 신호의 실질적으로 무한대의 기울기 (406) 보다 보다 작다. 기울기들에서의 감소는 기판 스택 (100) (도 1) 의 프로세싱 동안, 도 4a에서 저에너지 이온들의 생성 및 "x"들 등을 사용하여 예시된, 고에너지 이온들의 감소를 용이하게 한다.
또한, 기울기 A1은 기울기 B1과 교차하지 않는다.
도 4b는 상승 전이 기울기 C1이 하강 전이 기울기 D1보다 보다 큰 RF 펄스 신호 (408) 의 전력 또는 전압을 플롯팅한다. RF 펄스 신호 (408) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. 상태 S1 동안 RF 펄스 신호 (408) 의 상승 시간은 RF 펄스 신호 (408) 의 하강 시간보다 보다 크다.
또한, 기울기 C1은 기울기 D1과 교차하지 않는다. 또한, RF 펄스 신호 (408) 의 상태 S1의 안정 상태 SS2에 대한 시간 기간은 구형 펄스 신호의 상태 S1의 안정 상태 SS1에 대한 시간 기간보다 보다 작다.
도 4c는 RF 펄스 신호 (410) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (410) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (410) 의 상승 전이 기울기 E1은 RF 펄스 신호 (410) 의 하강 전이 기울기 F1보다 보다 작다. RF 펄스 신호 (410) 의 상태 S1 동안, "x"들로 나타낸 고에너지 이온들의 양을 감소시키고, 저에너지 이온들의 양을 증가시키기 위해 기울기 E1은 구형 펄스 신호의 기울기 (404) 보다 보다 작고 기울기 F1은 기울기 (406) 보다 보다 작다.
또한, 기울기 E1은 기울기 F1과 교차한다.
도 4d는 RF 펄스 신호 (412) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (412) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (412) 의 상승 전이 기울기 G1은 RF 펄스 신호 (412) 의 하강 전이 기울기 H1보다 보다 크다.
또한, 기울기 G1은 기울기 H1과 교차한다.
도 4e는 RF 펄스 신호 (414) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (414) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (414) 의 상승 전이 기울기 I1은 RF 펄스 신호 (414) 의 하강 시간 동안 실질적으로 무한대의 기울기 J1보다 보다 작다. 또한, 하강 전이 기울기 J1은 실질적으로 무한대인, 기울기 (406) 와 동일하거나 실질적으로 유사하다.
도 4f는 RF 펄스 신호 (416) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (416) RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (416) 의 상승 전이 동안 실질적으로 무한대의 기울기 K1은 RF 펄스 신호 (416) 의 하강 전이 기울기 L1보다 보다 크다.
도 4g는 RF 펄스 신호 (418) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (418) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (418) 의 하강 전이 동안 실질적으로 무한대의 기울기 N1은 RF 펄스 신호 (418) 의 상승 전이 기울기 M1보다 보다 크다. 상태 S1의 상승 시간 동안 RF 펄스 신호 (418) 의 형상은 커브되고, 예를 들어, 사인, 등이라는 것을 주의해야 한다. 예를 들어, RF 펄스 신호 (418) 의 상승 시간 동안 기울기 M1은 가변, 예를 들어, 일정하거나 하지 않다.
도 4h는 RF 펄스 신호 (420) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (420) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (420) 의 상승 전이 동안 실질적으로 무한대의 기울기 O1은 RF 펄스 신호 (420) 의 하강 전이 기울기 P1보다 보다 크다. 상태 S1의 하강 시간 동안 RF 펄스 신호 (420) 의 형상은 커브되고, 예를 들어, 사인, 등이라는 것을 주의해야 한다. 예를 들어, RF 펄스 신호 (420) 의 하강 시간 동안 기울기 P1은 가변, 예를 들어, 일정하거나 하지 않다.
도 4i는 RF 펄스 신호 (422) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (422) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. 상승 전이 기울기 Q1은 RF 펄스 신호 (422) 의 하강 전이 기울기 R1과 반대되고, 예를 들어, RF 펄스 신호 (422) 의 하강 전이 기울기 R1과 반대되는 방향, 등을 갖고 RF 펄스 신호 (422) 의 하강 전이 기울기 R1과 동일한 크기를 갖는다. RF 펄스 신호 (422) 는 상승 시간 및 하강 시간 양자 동안 커브되고, 예를 들어, 사인, 등이다. 예를 들어, 기울기 Q1 및 기울기 R1은 가변한다. 또한, 기울기 Q1은 기울기 R1과 교차한다.
도 4j는 RF 펄스 신호 (424) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (424) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. 상승 전이 기울기 S1은 RF 펄스 신호 (424) 의 하강 전이 기울기 T1과 반대되고, 예를 들어, RF 펄스 신호 (424) 의 하강 전이 기울기 T1과 반대되는 방향, 등을 갖고 RF 펄스 신호 (424) 의 하강 전이 기울기 T1과 동일한 크기를 갖는다. RF 펄스 신호 (424) 는 상승 시간 및 하강 시간 양자 동안 커브되고, 예를 들어, 종-형상, 지수 형상, 등이다. 예를 들어, 기울기 S1 및 기울기 T1은 가변한다. 또한, 기울기 S1은 기울기 T1과 교차한다.
도 4k는 RF 펄스 신호 (426) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (426) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (426) 의 하강 전이 동안 실질적으로 무한대의 기울기 V1은 RF 펄스 신호 (426) 의 상승 전이 기울기 U1보다 보다 크다. 상태 S1의 상승 시간 동안 RF 펄스 신호 (426) 의 형상은 커브되고, 예를 들어, 종-형상, 지수 형상, 등이라는 것을 주의해야 한다. 예를 들어, RF 펄스 신호 (426) 의 상승 시간 동안 기울기 U1은 가변하고, 예를 들어, 일정하거나 하지 않다. 상태 S1 동안 기울기 U1과 기울기 V1 사이에 안정 상태가 있다.
도 4l은 RF 펄스 신호 (428) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (428) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (428) 의 상승 전이 동안 실질적으로 무한대의 기울기 W1은 RF 펄스 신호 (428) 의 하강 전이 기울기 X1보다 보다 크다. 상태 S1의 하강 시간 동안 RF 펄스 신호 (428) 의 형상은 커브되고, 예를 들어, 종-형상, 지수 형상, 등이라는 것을 주의해야 한다. 예를 들어, RF 펄스 신호 (428) 의 하강 시간 동안 기울기 X1은 가변하고, 예를 들어, 일정하거나 하지 않다. 상태 S1 동안 기울기 W1과 기울기 X1 사이에 안정 상태가 있다.
도 4m은 RF 펄스 신호 (430) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (430) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (430) 의 상승 전이 기울기 Y1은 RF 펄스 신호 (430) 의 하강 전이 기울기 Z1과 비교하여 크기는 동일하지만 방향은 반대이다. 기울기 Y1은 구형 펄스 신호의 기울기 (404) 보다 작고 기울기 Z1은 RF 펄스 신호 (430) 의 상태 S1 동안 고에너지 이온들의 양을 감소시키고 저에너지 이온들의 양을 증가시키도록 구형 펄스 신호의 기울기 (406) 보다 보다 작다. 기울기 Y1 및 기울기 Z1은 직선 또는 실질적으로 직선을 형성한다.
또한, 기울기 Y1은 기울기 Z1과 교차한다.
도 4n은 RF 펄스 신호 (432) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (432) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (432) 의 상승 전이는 RF 펄스 신호 (432) 의 하강 전이 기울기 B2보다 보다 크다.
도 4o는 RF 펄스 신호 (434) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (434) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (434) 의 하강 전이 동안 실질적으로 무한대의 기울기 D2는 RF 펄스 신호 (434) 의 상승 전이 기울기 C2보다 보다 크다.
도 4p는 RF 펄스 신호 (436) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (436) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (436) 의 상승 전이 기울기 E2는 RF 펄스 신호 (436) 의 하강 전이 기울기 F2와 비교하여 크기는 동일하지만 방향은 반대이다. 기울기 E2 및 기울기 F2 각각은 가변하고, 예를 들어, 사인 형상을 갖고, 일정하거나 하지 않다. 기울기 E2와 기울기 F2 사이에 상태 S1의 안정 상태가 있다.
도 4q는 RF 펄스 신호 (438) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (438) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (438) 의 하강 전이 동안 실질적으로 무한대의 기울기 H2는 RF 펄스 신호 (438) 의 상승 전이 기울기 G2보다 보다 크다. 또한, 기울기 G2는 커브되고, 예를 들어, 가변하고, 사인 형상, 등을 갖는다.
도 4r은 RF 펄스 신호 (440) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (440) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (440) 의 상승 전이는 RF 펄스 신호 (440) 의 하강 전이 기울기 J2보다 보다 크다. 또한, 기울기 J2는 커브되고, 예를 들어, 가변하고, 사인 형상, 등을 갖는다.
도 4s는 RF 펄스 신호 (442) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (442) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (442) 의 상승 시간 기울기 K2는 일정하고, 예를 들어, 직선, 등을 형성하거나 실질적으로 일정, 예를 들어, 실질적으로 직선, 등을 형성하고, RF 펄스 신호 (442) 의 하강 전이 기울기 L2는 가변하고, 예를 들어, 사인, 등이다. 일부 실시예들에서, RF 펄스 신호 (442) 의 상승 전이 기울기는 커브되고, 예를 들어, 종 형상을 갖고, 지수적으로 증가하거나 한다.
도 4t는 RF 펄스 신호 (444) 의 전력 또는 전압 대 시간 t의 플롯의 실시예의 도면이다. RF 펄스 신호 (444) 는 RF 생성기 (302) (도 3a) 에 의해 생성된 RF 펄스 신호의 예이다. RF 펄스 신호 (444) 의 상승 시간 기울기 M2는 가변하고, 예를 들어, 사인, 등이고, RF 펄스 신호 (444) 의 하강 전이 기울기 N2는 일정하거나 실질적으로 일정하다. 일부 실시예들에서, RF 펄스 신호 (444) 의 하강 전이 기울기는 커브되고, 예를 들어, 종 형상을 갖고, 지수적으로 감소하거나 한다.
도 4a 내지 도 4t 각각에서, 점선을 사용하여 예시된 RF 펄스 신호의 상태 S1 동안 안정 상태는 구형 펄스 신호의 상태 S1 동안 안정 상태보다 보다 작다. 또한, RF 펄스 신호들은 도 4a 내지 도 4t 각각에서 점선으로 도시되고 구형 펄스 신호들 도 4a 내지 도 4t 각각에서 실선으로 도시된다. 또한, 도 4a 내지 도 4t 각각에서 점선을 사용하여 도시된 RF 펄스 신호의 상태 S1 동안 안정 상태는 구형 펄스의 안정 상태보다 보다 짧은 시간 동안 발생한다.
도 4u는 전압 대 시간 t의 플롯의 실시예의 도면이다. 플롯은 연속파 (CW) RF 신호 (450), 구형 RF 신호 (452), 및 RF 펄스 신호 (454) 를 포함한다. 일부 실시예들에서, 연속파 RF 신호는 2 이상의 상태들 대신 1 상태를 갖는다. 예로서, 연속파 RF 신호는 상태 S1 또는 상태 S0을 갖는다. 또 다른 예로서, 연속파 RF 신호는 펄싱되지 않는다.
RF 펄스 신호 (454) 는 상태 S1 동안 구형 RF 신호 (452) 및 연속파 RF 신호 (450) 에 의해 생성된 저에너지 이온들보다 상태 S1 동안 보다 많은 수의 저에너지 이온들을 생성한다. 보다 많은 수의 저에너지 이온들은 기판 스택 (100) (도 1) 의 프로세싱 동작을 개선한다.
도 4a 내지 도 4u 각각에서, 상승 전이 기울기는 상태 S1 동안 상태 S0으로부터 안정 상태를 달성하고 하강 전이 기울기는 상태 S0 동안 상태 S1로부터 안정 상태를 달성한다.
도 5a는 실질적으로 무한대의 기울기들을 갖는 구형 RF 신호들 (510 및 512) 의 펄스 상승 시간들 및 펄스 하강 시간들을 예시하기 위한 그래프 (508) 의 실시예이다. 그래프 (508) 는 전압 (V) 대 시간 t를 플롯팅한다. 구형 RF 신호 (510) 는 y ㎒ RF 생성기 또는 z ㎒ RF 생성기에 의해 생성되고 구형 RF 신호 (512) 는 x ㎒ RF 생성기에 의해 생성된다. 구형 RF 신호 (510) 는 상태 S0으로부터 상태 S1로의 상승 시간 동안 실질적으로 무한대의 기울기를 갖고 상태 S1로부터 상태 S0으로의 하강 시간 동안 실질적으로 무한대의 기울기를 갖는다. 유사하게, 구형 RF 신호 (512) 는 상승 시간 동안 실질적으로 무한대의 기울기를 갖고 하강 시간 동안 실질적으로 무한대의 기울기를 갖는다. 예를 들어, 구형 RF 신호들 (510 및 512) 각각의 상승 시간은 5 ㎲ 또는 대략 5 ㎲이고 구형 RF 신호들 (510 및 512) 각각의 하강 시간은 5 ㎲ 또는 대략 5 ㎲이다.
도 5b는 상승 전이 및 하강 전이 동안 실질적으로 무한대의 기울기들 이외의 기울기들을 갖는 RF 펄스 신호들 (516 및 518) 의 펄스 상승 시간들 및 펄스 하강 시간들을 예시하는 그래프 (502) 이다. 그래프 (502) 는 전압 대 시간 t를 플롯팅한다. RF 펄스 신호 (518) 는 y ㎒ RF 생성기 또는 z ㎒ RF 생성기에 의해 생성되고 RF 펄스 신호 (516) 는 x ㎒ RF 생성기에 의해 생성된다. RF 펄스 신호 (516) 는 상태 S0으로부터 상태 S1로의 상승 시간 동안 실질적으로 무한대의 기울기 이외의 기울기를 갖고 상태 S1로부터 상태 S0으로의 하강 시간 동안 실질적으로 무한대의 기울기 이외의 기울기를 갖는다. 유사하게, RF 펄스 신호 (518) 는 상승 시간 동안 실질적으로 무한대의 기울기 이외의 기울기를 갖고 하강 시간 동안 실질적으로 무한대의 기울기를 갖는다. 예를 들어, RF 펄스 신호들 (516 및 518) 각각의 상승 시간은 25 ㎲ 또는 대략 25 ㎲이고 RF 펄스 신호들 (516 및 518) 각각의 하강 시간은 25 ㎲ 또는 대략 25 ㎲이다.
도 5c는 실질적으로 무한대의 기울기들 이외의 기울기들을 갖는 RF 펄스 신호들 (506 및 520) 의 펄스 상승 시간들 및 펄스 하강 시간들을 예시하기 위한 전압 대 시간을 플롯팅하는 그래프 (504) 의 실시예이다. 예를 들어, RF 펄스 신호들 (506 및 520) 각각의 상승 시간은 50 ㎲이고 RF 펄스 신호들 (506 및 520) 각각의 하강 시간은 50 ㎲이고 RF 펄스 신호들 (506 및 520) 각각의 펄스 폭은 150 ㎲이다. RF 펄스 신호 (506) 는 y ㎒ RF 생성기 또는 z ㎒ RF 생성기에 의해 생성되고 RF 펄스 신호 (520) 는 x ㎒ RF 생성기에 의해 생성된다.
도 6a는 구형 펄스 신호 (612) 의 전이 시간과 비교하여 RF 펄스 신호의 상승 전이 시간의 증가 및/또는 하강 전이 시간의 증가에 따른 저에너지 이온들의 수의 증가를 예시하기 위한 복수의 플롯들 (602, 604, 606, 608, 및 610) 의 실시예의 도면이다. 플롯 (602) 은 구형 RF 펄스 신호 (612) 의 전압 대 시간 t의 전압을 플롯팅한다. 구형 펄스 신호 (612) 는 상승 시간 동안 실질적으로 무한대의 기울기를 갖고 하강 시간 동안 실질적으로 무한대의 기울기를 갖는다. 예를 들어, 구형 펄스 신호는 5 ㎲의 상승 시간, 220 ㎲의 안정 상태 시간, 및 5 ㎲의 하강 시간을 갖는다. 또 다른 예로서, 구형 펄스 신호는 대략 5 ㎲의 상승 시간, 대략 220 ㎲의 안정 상태 시간, 및 대략 5 ㎲의 하강 시간을 갖는다.
또한, 플롯 (604) 는 RF 펄스 신호 (620) 의 전압 대 시간 t의 그래프를 플롯팅한다. RF 펄스 신호 (620) 의 상승 시간은 구형 RF 펄스 신호 (612) 의 상승 시간보다 보다 크고 및 RF 펄스 신호 (620) 의 하강 시간은 구형 RF 펄스 신호 (612) 의 상승 시간보다 보다 크다. 또한, RF 펄스 신호 (620) 의 펄스 폭 시간 기간은 구형 RF 펄스 신호 (612) 의 펄스 폭 시간 기간보다 보다 작다. 예를 들어, RF 펄스 신호 (620) 는 상태 S1의 안정 상태 동안 30 ㎲의 펄스 폭 PW4를 갖고, 100 ㎲의 하강 시간을 갖고, 100 ㎲의 상승 시간을 갖는다. 또 다른 예로서, RF 펄스 신호 (620) 는 상태 S1의 안정 상태 동안 대략 30 ㎲의 펄스 폭 PW4를 갖고, 대략 100 ㎲의 하강 시간을 갖고, 대략 100 ㎲의 상승 시간을 갖는다.
플롯 (606, 608, 및 610) 각각은 이온 에너지 분포의 플롯이다. 예를 들어, 플롯 (606, 608, 및 610) 각각은 플라즈마 챔버 (206) (도 2) 내에서 플라즈마에 의해 생성된 이온들의 이온 에너지 대 이온들이 콘택트 홀 (102) (도 1) 상에 입사하는 정도로 측정된 각 θ의 그래프이다. 플롯 (606) 은 플라즈마 챔버 (206) 의 척 (215) (도 2) 의 연속파 RF 신호의 적용에 기초하여 생성되었다. 플롯 (608) 은 구형 RF 펄스 신호 (612) 의 척 (215) 으로의 적용에 기초하여 생성되었다. 플롯 (610) 은 실질적으로 무한대 이외의 상승 전이 기울기 및 실질적으로 무한대인 하강 전이 기울기를 갖는 RF 펄스 신호 (620) 의 적용에 기초하여 생성되었다.
플롯들 (608 및 610) 에서 점선 타원 부분들에 의해 도시된 바와 같이, 플롯 (610) 에 도시된 바와 같이 플라즈마의 저에너지 이온들의 수는 플롯 (608) 에 도시된 바와 같이 플라즈마의 저에너지 이온들의 수보다 보다 많다. 또한, 플롯들 (606 및 608) 에서 점선 타원 부분들에 의해 도시된 바와 같이, 플롯 (608) 에 도시된 플라즈마의 저에너지 이온들의 수는 플롯 (606) 에 도시된 플라즈마의 저에너지 이온들의 수보다 보다 많다.
도 6b는 RF 펄스 신호들의 형상들의 변화에 따른 저에너지 이온들의 수의 증가를 예시하기 위한 복수의 플롯들 (630, 632, 634, 및 (636)) 을 도시하는 도면의 실시예이다. 플롯 (630) 은 연속파 RF 신호가 척 (215) (도 2) 에 적용될 때 플라즈마 챔버 (206) (도 1) 내에서 발생하는 이온 에너지 분포이다. 또한, 플롯 (632) 은 구형 RF 펄스 신호가 척 (215) 에 적용될 때 플라즈마 챔버 (206) 내에서 발생하는 이온 에너지 분포이다. 플롯 (634) 은 커브된, 예를 들어, 종-형상, 지수, 등의 RF 펄스 신호가 척 (215) 에 적용될 때 플라즈마 챔버 (206) 내에서 발생하는 이온 에너지 분포이다. 또한, 플롯 (636) 은 커브된, 예를 들어, 사인, 등의 RF 펄스 신호가 척 (215) 에 적용될 때 플라즈마 챔버 (206) 내에서 발생하는 이온 에너지 분포이다. 점선 (638) 으로 도시된 바와 같이, 플라즈마 챔버 (206) 내에서 생성된 플라즈마 내의 저에너지 이온들은 RF 펄스 신호의 형상이 구형으로부터 종-형상으로 또는 지수로 그리고 또한 사인 형상으로 변화함에 따라 증가한다.
또한, 영역들 (640 및 642) 로 도시된 바와 같이, 콘택트 홀 (102) (도 1) 에서의 이온 플럭스는 사인 형상 RF 펄스 신호가 척 (215) 에 적용될 때 이온 에너지를 생성하도록 포커싱된다.
다양한 실시예들에서, RF 펄스 신호의 형상은 저에너지 이온들의 양을 증가시키고 및/또는 콘택트 홀 (102) 상에서 이온 플럭스의 포커싱을 증가시키도록 최적화된다. 예를 들어, IEMD (ion energy distribution measurement device) (미도시), 예를 들어, 저지 장 에너지 분석기 (retarding field energy analyzer), 질량 분석기, 등이 플라즈마 챔버 (206) 내에서 플라즈마의 이온 에너지 분포를 측정하도록 플라즈마 챔버 (206) 에 연결되거나 플라즈마 챔버 (206) 내에 배치된다. IEMD는 또한 프로세서 (214) (도 2) 에 연결된다. 프로세서 (214) 는 IEMD로부터 이온 에너지 분포를 수신하고 이온 에너지 분포 내의 이온 에너지가 미리 결정된 문턱값보다 보다 큰지 여부를 결정한다. 이온 에너지가 미리 결정된 문턱값보다 크다는 결정시, 프로세서 (214) 는 플라즈마 챔버 (206) 내에서 플라즈마의 저에너지 이온들의 양을 더 증가시키기 위해 이온 에너지의 양을 감소시키고 플라즈마 내에서 이온 에너지의 포커싱을 증가시키기 위해 RF 펄스의 형상, 예를 들어, 종-형상으로부터 사인으로, 지수로부터 사인으로, 구형으로부터 실질적으로 무한대의 기울기 이외의 기울기를 갖는 RF 펄스 등으로 변화시키도록 결정한다. 일부 실시예들에서, 이온 에너지는 이온 에너지의 양이 미리 결정된 문턱값보다 보다 작을 때까지 기울기의 형상을 변화시킴으로써 반복적으로 감소된다.
일부 실시예들에서, 이온 에너지가 미리 결정된 문턱값보다 보다 크다는 결정시, 프로세서 (214) 는 플라즈마 내에서 이온 에너지의 양을 감소시키고 이온 플럭스의 포커싱을 증가시키기 위해 RF 펄스 신호의 기울기, 예를 들어, 상승 전이 기울기, 하강 전이 기울기, 등과 연관된 전이 시간을 변화시키도록 결정한다. 일부 실시예들에서, 이온 에너지는 이온 에너지의 양이 미리 결정된 문턱값보다 보다 작을 때까지 기울기의 형상을 변화시킴으로써 반복적으로 감소된다. 다양한 실시예들에서, RF 펄스의 기울기의 변화는 클록 신호의 일정한 듀티 사이클 동안 RF 펄스의 듀티 사이클을 변화시킨다.
도 6c는 RF 펄스 신호의 듀티 사이클의 변화에 따른 이온 에너지 분포의 변화를 예시하기 위한 상이한 플롯들 (630, 672, (636), 및 (674)) 의 실시예이다. 플롯 (672) 은 RF 펄스 신호 (676) 가 척 (215) (도 2) 에 제공될 때 생성된다. RF 펄스 신호 (676) 는 RF 펄스 신호 (678) 의 듀티 사이클 DC2보다 보다 작은 듀티 사이클 DC1을 갖는다. 예를 들어, RF 펄스 신호 (676) 의 상태 S1은 RF 펄스 신호 (678) 의 상태 S1보다 보다 짧은 시간 기간 동안 발생하고 RF 펄스 신호 (678) 의 상태 S0은 RF 펄스 신호 (676) 의 상태 S0보다 보다 짧 시간 기간 동안 발생한다. 또 다른 예로서, RF 펄스 신호 (678) 의 듀티 사이클은 50 %이고 RF 펄스 신호 (676) 의 듀티 사이클은 50 % 듀티 사이클보다 보다 짧다. 또 다른 예로서, RF 펄스 신호 (678) 의 상승 시간 동안 전력량 P18은 전력량 P18이 RF 펄스 신호 (676) 의 상승 시간 동안 달성되는 것보다 보다 늦게 달성되고, RF 펄스 신호 (678) 의 하강 시간 동안 전력량 P18은 전력량 P18이 RF 펄스 신호 (676) 의 하강 시간 동안 달성되는 것보다 보다 늦게 달성된다. 또 다른 예로서, RF 펄스 신호 (678) 의 생성 동안 전력량 P24는 전력량 P24가 RF 펄스 신호 (676) 의 생성 동안 달성되는 시간보다 보다 늦게 달성된다. RF 펄스 신호 (678) 는 플롯 (636) 을 생성하기 위해 사용된다.
또한, 플롯 (674) 은 듀티 사이클을 갖는 RF 펄스 신호 (680) 가 척 (215) 에 제공될 때 생성된다. RF 펄스 신호 (680) 의 DC3은 RF 펄스 신호 (678) 의 듀티 사이클 DC2보다 보다 크다. 예를 들어, RF 펄스 신호 (678) 의 상태 S1은 RF 펄스 신호 (680) 의 상태 S1보다 짧은 시간 기간 동안 발생하고 RF 펄스 신호 (676) 의 상태 S0은 RF 펄스 신호 (680) 의 상태 S0보다 보다 긴 시간 동안 발생한다. 또 다른 예로서, RF 펄스 신호 (678) 의 듀티 사이클은 50 %이고 RF 펄스 신호 (680) 의 듀티 사이클은 50 % 듀티 사이클보다 보다 길다. 또 다른 예로서, RF 펄스 신호 (678) 의 상승 시간 동안 전력량 P18은 전력량 P18이 RF 펄스 신호 (680) 의 상승 시간 동안 달성되는 것보다 보다 빨리 달성되고, RF 펄스 신호 (678) 의 하강 시간 동안 전력량 P18은 전력량 P18이 RF 펄스 신호 (680) 의 하강 시간 동안 달성되는 것보다 보다 빨리 달성된다. 또 다른 예로서, RF 펄스 신호 (680) 의 생성 동안 전력량 P24는 전력량 P24가 RF 펄스 신호 (678) 의 생성 동안 달성되는 시간보다 보다 늦게 달성된다.
RF 펄스 신호 (678) 가 척 (215) 에 적용될 때 생성된 저에너지 이온들의 수는 RF 펄스 신호 (676) 가 척 (215) 에 적용될 때 또는 RF 펄스 신호 (680) 가 척 (215) 에 적용될 때 생성된 저에너지 이온들의 수보다 보다 크다는 것을 주의해야 한다. RF 펄스 신호들 (676, 678, 및 680) 의 형상은 유사, 예를 들어, 사인, 등이라는 것을 주의해야 한다.
일부 실시예들에서, RF 펄스 신호의 듀티 사이클은 보다 많은 수의 저에너지 이온들을 생성하도록 최적화된다. 예를 들어, 듀티 사이클 DC2은 듀티 사이클 DC1과 듀티 사이클 DC3 사이이다. RF 펄스 신호의 듀티 사이클은 상기 기술된 바와 유사한 방식으로 최적화된다. 예를 들어, IEMD는, 이온 에너지 분포로부터 이온 에너지의 양이 미리 결정된 문턱값보다 보다 작은지 여부를 결정하는, 프로세서 (214) 로 이온 에너지 분포를 제공한다. 이온 에너지의 양이 미리 결정된 문턱값보다 보다 작다는 결정시, 프로세서 (214) 는 플라즈마 챔버 (206) 내에서 저에너지 이온들의 수를 더 증가시키기 위해 이온 에너지의 양을 감소시키도록, 듀티 사이클, 예를 들어, DC1로부터 DC2로, DC3으로부터 DC2로, 등으로 변화시킨다. 일부 실시예들에서, 듀티 사이클은 이온 에너지의 양이 미리 결정된 문턱값보다 작은 것으로 결정될 때까지 반복적으로 감소된다.
다양한 실시예들에서, RF 펄스 신호의 듀티 사이클은 상승 시간, 안정 상태 시간 기간, 하강 시간, 형상, 상태 S0에 대한 전력량 및 상태 S1에 대한 전력량을 갖는다. 상태 S0에 대한 전력량, 상태 S1에 대한 전력량, 및 클록 신호의 듀티 사이클의 수신 또는 결정시, 프로세서 (214) 는 RF 펄스 신호의 듀티 사이클을 변화시키도록 상승 시간, 안정 상태 시간 기간, 하강 시간, 및/또는 형상을 변화시킨다.
도 7은 펄스 상승 시간 또는 하강 시간의 증가와 함께 또는 펄스 상단 폭, 예를 들어, 안정 상태 시간 기간, 안정 상태, 등의 감소와 함께, 에칭층의 선택도의 증가가 있다는 것을 예시하기 위한 그래프 (702) 의 실시예이다. 예를 들어, 에칭층의 선택도는 실질적으로 무한대의 기울기가 이외의 상승 시간 또는 하강 시간을 갖는 RF 펄스 신호가 척 (215) 에 공급될 때와 비교하여 구형 펄스가 척 (215) (도 2) 에 공급될 때 보다 낮다. 그래프 (702) 는 RF 펄스 신호의 펄스 상단 폭 대 RF 펄스 신호의 펄스 상승 시간 또는 펄스 하강 시간 대 RF 펄스 신호를 사용하여 달성된 옥사이드층의 선택도를 플롯팅한다.
그래프 (702) 에서 점들 (706, 708, 및 710) 은 기준을 형성하기 위한 구형 RF 펄스 신호들과 관련된다. 또한, 그래프 (702) 에서 점 (712) 은 실질적으로 무한대의 기울기 이외의 상승 전이 기울기 및/또는 하강 전이 기울기를 갖는 RF 펄스 신호와 관련된다.
구형 RF 신호는 실질적으로 무한대의 기울기 이외의 상승 전이 기울기를 갖는 RF 펄스 신호의 상승 시간보다 보다 빠른 상승 시간을 갖는다는 것을 주의해야 한다. 구형 RF 신호는 실질적으로 무한대의 기울기 이외의 하강 전이 기울기를 갖는 RF 펄스 신호의 하강 시간보다 보다 빠른 하강 시간을 갖는다는 것을 주의해야 한다. 또한, 구형 RF 펄스 신호의 상태 S1의 안정 상태 동안의 펄스 폭은 실질적으로 무한대의 기울기 이외의 상승 전이 기울기 및/또는 하강 전이 기울기를 갖는 RF 펄스 신호의 상태 S1의 안정 상태 동안의 펄스 폭보다 보다 크다는 것을 주의해야 한다.
일부 실시예들에서, 선택되는 마스크층의 에칭 레이트에 대한 에칭층의 에칭 레이트의 비로서 규정된다.
도 7은 또한 에칭층의 에칭 레이트가 RF 펄스 신호의 펄스 폭, 상승 전이 시간, 및/또는 하강 전이 시간의 변화에 따라 최소로 감소한다는 것을 예시하기 위한 그래프 (704) 의 실시예를 도시한다. 에칭층의 에칭 레이트는 선택적인 에칭 동작, 예를 들어, 콘택트 홀 (102) (도 1) 및 에칭층 내의 다른 유사한 콘택트 홀들, 등을 형성하기 위한 에칭에 대한 것이다. 그래프 (704) 는 RF 펄스 신호의 상태 S1의 안정 상태 동안의 펄스 상단 폭 대 RF 펄스 신호의 펄스 상승 시간 및/또는 RF 펄스 신호의 펄스 하강 시간 및 RF 펄스 신호의 상태 S1의 안정 상태 동안의 펄스 상단 폭 대 기판 스택 (100) (도 1) 의 에칭층의 에칭 레이트를 플롯팅한다. 일부 실시예들에서, 펄스 상승 시간은 상태 S0으로부터 상태 S1을 달성하기 위한 RF 펄스에 대한 시간량이고 펄스 하강 시간은 상태 S1로부터 상태 S0을 달성하기 위한 RF 펄스에 대한 시간량이다.
그래프 (704) 에서 점들 (714, 716, 및 718) 은 기준으로서 도시되고 선택적인 에칭 동작이 구형 펄스 신호들을 사용하여 수행될 때 생성된다. 또한, 그래프 (704) 에서 점 (720) 은 선택적인 에칭 동작이 실질적으로 무한대의 기울기 이외의 상승 전이 기울기 및/또는 하강 전이 기울기를 갖는 RF 펄스 신호를 사용하여 수행될 때 생성된다.
실질적으로 무한대의 기울기 이외의 상승 전이 기울기 및/또는 하강 전이 기울기를 갖는 RF 펄스 신호를 사용하여 달성된 에칭 레이트는 예를 들어, 가까운 범위 내, 등에서, 구형 펄스 신호들을 사용하여 달성된 에칭 레이트와 유사하지 않다는 것을 주의해야 한다.
도 8은 실질적으로 무한대의 기울기 이외의 상승 전이 기울기 및/또는 하강 전이 기울기를 갖는 RF 펄스 신호가 에칭 동작을 수행하기 위해 사용될 때 기판 스택 (100) (도 1) 의 표면에 걸친 에칭 레이트 균일도의 개선을 예시하기 위한 그래프 (800) 이다. 그래프 (800) 는 RF 펄스 신호의 상태 S1의 안정 상태 동안의 펄스 상단 폭 대 상승 시간 및/또는 하강 시간 대 에칭층의 중앙-대-에지 에칭 레이트 균일도를 플롯팅한다.
그래프 (800) 상에서 점들 (802, 804, 및 806) 은 기준을 형성하고 구형 RF 펄스 신호들이 에칭층을 에칭하기 위해 사용될 때 생성된다. 또한, 그래프 (800) 의 점 (808) 은 실질적으로 무한대의 기울기 이외의 상승 전이 기울기 및/또는 하강 전이 기울기를 갖는 RF 펄스 신호가 에칭층을 에칭하기 위해 사용될 때 생성된다. 또한, 점 (808) 은 RF 펄스 신호가 구형 펄스 신호의 펄스 상단 폭보다 보다 낮은 펄스 상단 폭을 가질 때 생성된다. 도시된 바와 같이, 기판 스택 (100) 의 표면 상의 콘택트 홀들의 에칭 레이트 균일도는 점들 (802, 804, 및 806) 과 연관된 에칭 레이트 균일도들과 비교하여 점 (808) 에서 개선된다.
도 9는 저에너지 이온들이 증가할 때 정규화된 에칭 레이트 균일도의 개선을 예시하기 위한 플롯 (900) 의 실시예이다. 플롯 (900) 은 정규화된 에칭층의 에칭 레이트 대 기판 스택 (100) (도 1) 의 반경을 플롯팅한다. 플롯 (900) 은 실질적으로 무한대의 기울기 이외의 상승 전이 기울기 및/또는 하강 전이 기울기를 갖는 RF 펄스 신호가 사용될 때 기판 스택 (100) 의 표면 상의 콘택트 홀들에 걸친 정규화된 에칭 레이트를 도시하는 그래프 (902) 를 포함한다. 또한, 플롯 (900) 은 구형 펄스 신호가 사용될 때 기판 스택 (100) 의 표면 상의 콘택트 홀들에 걸쳐 정규화된 에칭 레이트를 도시하는 그래프 (904) 를 포함한다. 그래프 (902) 는 그래프 (904) 보다 보다 균일하다.
도 10a는 수정된 RF 펄스 신호 (1002) 를 생성하기 위해 DC 전력 공급부 (1004) 의 사용을 예시하기 위한 시스템 (1000) 의 실시예의 도면이다. 도 10b는 3가지 상태들로 동작하는 RF 생성기 (1010) 의 실시예의 도면이다. 도 10c는 RF 펄스 신호 (1052) 의 3가지 상태들을 예시하기 위한 그래프 (1050) 의 실시예이다. 그래프 (1050) 는 RF 펄스 신호 대 시간 t의 전력을 플롯팅한다.
시스템 (1000) 은 시스템 (1000) 이 호스트 시스템 (210) 에 연결된 DC 전력 공급부 (1004) 를 포함하는 것을 제외하고 도 2의 시스템 (200) 과 유사하고, 시스템 (1000) 의 x ㎒ RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기는 3가지 상태들, 예를 들어, 상태 1, 상태 2, 및 상태 3, 등에서 동작한다. 또한, IMC (204) 는 IMC (1070) 로 대체된다. IMC (1070) 는 플라즈마 시스템 (1000) 의 x ㎒ RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기 및 DC 전력 공급부 (1004) 에 연결된다.
DC 전력 공급부 (1004) 는 하부 전극에 고정된 극성의 전력을 공급한다. 일부 실시예들에서, DC 전력 공급부 (1004) 는 통신 디바이스, 예를 들어, 직렬 통신 프로토콜을 적용하는 통신 디바이스, 병렬 통신 프로토콜을 적용하는 통신 디바이스, RS-232 통신 프로토콜을 적용하는 통신 디바이스, GPIB (general purpose interface bus) 통신 프로토콜을 적용하는 통신 디바이스, 등을 통해 프로그램가능하다.
RF 생성기 (1010) 는 RF 생성기 (1010) 가 2가지 대신 3가지 상태에서 동작하는 것을 제외하고 도 3a의 RF 생성기 (302) 와 유사하다. RF 생성기 (1010) 는 DSP, 상태 각각에 대해 하나인, 복수의 전력 제어기들 (PWRState1, PWRState2, 및 PWRState3), 및 AFTState1, AFTState2, 및 AFTState3을 포함하는 복수의 AFT들을 포함한다.
도 10a 및 도 10c를 참조하면, 프로세서 (214) 는 RF 펄스 신호 (1052) 의 상태 1에 대한 상승 전이 기울기 Slope 3R, RF 펄스 신호 (1052) 의 상태 1에 대한 하강 전이 기울기 Slope 3F, 및 RF 펄스 신호 (1052) 의 상태 2에 대한 하강 전이 기울기 Slope 4F를 입력 디바이스로부터 수신한다. 프로세서 (214) 는 상태 1, 상태 2, 및 상태 3과 연관된 안정 상태들에 대한 전력량을 수신한다. 프로세서 (214) 는 기울기 Slope 3R과 연관된 전력량들 및 기울기 Slope 3R에 기초한 전력량들과 연관된 타이밍들, 상태 3 및 상태 1과 연관된 안정 상태들에 대한 전력량들, 및 3가지 상태들을 갖는 제어 신호의 사이클을 결정한다. 예를 들어, 프로세서 (214) 는 시간 t25에서 달성될 전력량 P25를 결정하고, 또한 기울기 Slope 3R을 달성하기 위해 시간 t26에서 달성될 전력량 P26을 결정한다. 프로세서 (214) 는 전력량 P25가 시간 t0에서 전력량 P0으로부터 달성된다고 결정한다. 전력량 P0은 상태 3의 안정 상태의 전력량이고 전력량 P26은 상태 1의 안정 상태의 전력량이다. 시간 t25 및 시간 t26은 기울기 Slope 3R 및 제어 신호의 사이클, 예를 들어, 상태 1에 대해 지정된 시간 기간, 등에 기초하여 결정된다. 또한, 프로세서 (214) 는 기울기 Slope 3F와 연관된 전력량들 및 기울기 Slope 3F에 기초한 전력량과 연관된 타이밍들, 상태 1 및 상태 2과 연관된 안정 상태들에 대한 전력량들, 및 3가지 상태들을 갖는 제어 신호의 사이클을 결정한다. 예를 들어, 프로세서 (214) 는 시간 t26에 달성될 전력량 P26으로부터 시간 t28에서 달성될 전력량 P25를 결정하고, 프로세서 (214) 는 시간 t29에 달성될 전력량 P27을 산출한다. 전력량 P27은 상태 2의 안정 상태의 전력량이고 전력량 P26은 상태 1의 안정 상태의 전력량이다. 시간 t26, 시간 t27, 및 시간 t28은 기울기 Slope 3F 및 제어 신호의 사이클에 기초하여 결정된다.
프로세서 (214) 는 전력량 P26이 시간 t26 내지 시간 t27의 시간 기간 동안 유지된다고 결정한다. 시간 기간은 제어 신호의 3가지 상태들 중 첫번째 상태, 예를 들어, 상태 1에 대응하는 상태, 등 동안 남아 있는 시간 기간이다. 제어 신호의 3가지 상태들은 이하에 더 기술된다.
또한, 프로세서 (214) 는 기울기 Slope 4F, 상태 2 및 상태 1과 연관된 안정 상태들에 대한 전력량들, 및 3가지 상태들을 갖는 제어 신호의 사이클에 기초하여 상태 2의 하강 전이 기울기 Slope 4F와 연관된 전력량들 및 타이밍들을 결정한다. 예를 들어, 프로세서 (214) 는 시간 t30에서 달성된 전력량 P27로부터 시간 t31에서 달성될 전력량 P28, 기울기 Slope 4F에 기초하여 시간 t32에서 달성될 전력량 P0, 상태 2의 안정 상태의 전력량 P27, 상태 3의 안정 상태의 전력량 P0, 및 제어 신호의 사이클의 시간 기간을 결정한다. 제어 신호의 사이클의 시간 기간은 상태 2와 연관된 상태의 시간 기간이다. 게다가, 프로세서 (214) 는 상태 3이 시간 기간, 예를 들어, 시간 t32 내지 시간 t33, 등 동안 전력량 P30을 갖는다고 결정한다. 시간 기간은 제어 신호의 3가지 상태들 중 세번째 상태 동안 남아 있는 시간 기간이다.
3가지 상태들을 갖는 제어 신호는 다수의 상태들을 프로세서 (214) 에 제공한다. 예를 들어, 프로세서 (214) 는 3가지 상태들을 갖는 RF 펄스 신호가 제어 신호의 3가지 상태들과의 동기시 생성된다고 결정한다. 추가 예시를 위해, 프로세서 (214) 는 RF 펄스 신호는 제어 신호가 상태 1을 달성할 때 상태 1을 달성하기 위해 전이를 개시하고, RF 펄스 신호는 제어 신호가 상태 2를 달성할 때 상태 2를 달성하기 위해 전이를 개시하고, 그리고 RF 펄스 신호는 제어 신호가 상태 3을 달성할 때 상태 3을 달성하기 위해 전이를 개시한다고 결정한다.
다양한 실시예들에서, 전력량들 (P0, P25, P26, P27, 및 P28), 및 타이밍들 (t0, t25, t26, t27, t28, t29, t30, t31, t32, 및 t33) 은 프로세서 (214) 에 의해 생성되는 대신 입력 디바이스를 통해 사용자로부터 프로세서 (214) 에 의해 수신된다.
시간 t33은 시간 t32보다 보다 크고, t32는 시간 t31보다 보다 크다는 것을 주의해야 한다. 또한, 시간 t31은 시간 t30보다 보다 크고 시간 t30은 시간 t29보다 보다 크다. 시간 t29는 시간 t28보다 보다 크고, 시간 t28은 시간 t27보다 보다 크다. 시간 t27은 시간 t26보다 보다 크고, 시간 t26은 시간 t25보다 보다 크다. 시간 t25은 시간 t0보다 보다 크다.
도 10a를 참조하면, 프로세서 (214) 는 RF 펄스 신호 (1052) 의 3가지 상태들 (상태 1, 상태 2, 및 상태 3) 과 연관된 전력량들 (P0, P25, P26, P27, 및 P28), 및 타이밍들 (t0, t25, t26, t27, t28, t29, t30, t31, t32, 및 t33) 을 호스트 시스템 (210) 의 통신 디바이스 및 RF 생성기 (1010) 의 통신 디바이스를 통해 RF 생성기 (1010) 의 DSP로 제공한다. RF 생성기 (1010) 의 DSP는 RF 생성기 (302) 의 DSP와 유사한 동작을 수행한다. 예를 들어, RF 생성기 (1010) 의 DSP는 상태 1과 연관된 전력량들 및 타이밍들을 식별하고, 상태 2와 연관된 전력량들 및 타이밍들을 식별하고, 그리고 프로세서 (214) 로부터 수신된 전력량들 및 타이밍으로부터 상태 3과 연관된 전력 레벨들 및 타이밍들을 식별한다.
RF 생성기 (1010) 의 DSP는 전력량들 (P0, P25, P26, 및 P27) 및 타이밍들 (t0, t25, t26, t27, t28, 및 t29) 을 전력 제어기 (PWRState1) 로 제공한다. 또한, RF 생성기 (1010) 의 DSP는 전력량들 (P27, P28, 및 P0) 및 타이밍들 (t29, t30, 및 t31) 을 전력 제어기 (PWRState2) 로 제공한다. RF 생성기 (1010) 의 DSP는 전력량 P0, 및 타이밍들 (t32 및 t33) 을 전력 제어기 (PWRState3) 으로 제공한다.
전력 제어기 (PWRState1) 는 상태 1 동안, 상태 1에 대한 RF 펄스 신호 (1052) 의 부분을 생성하기 위해 RF 전력 공급을 인에이블하도록 RF 생성기 (1010) 의 RF 전력 공급부를 구동한다. 유사하게, 전력 제어기 (PWRState2) 는 상태 2 동안, 상태 2에 대한 RF 펄스 신호 (1052) 의 부분을 생성하기 위해 RF 전력 공급을 인에이블하도록 RF 생성기 (1010) 의 RF 전력 공급부를 구동한다. 또한, 전력 제어기 (PWRState3) 는 상태 3 동안, 상태 3에 대한 RF 펄스 신호 (1052) 의 부분을 생성하기 위해 RF 전력 공급을 인에이블하도록 RF 생성기 (1010) 의 RF 전력 공급부를 구동한다. RF 생성기 (1010) 의 RF 전력 공급부는 RF 펄스 신호 (1052) 를 생성한다.
유사하게, 플라즈마 시스템 (1000) 의 y ㎒ RF 생성기 및 z ㎒ RF 생성기는 RF 펄스 신호들을 생성한다. 예를 들어, y ㎒ RF 생성기 또는 z ㎒ RF 생성기는 x ㎒ RF 생성기에 의해 생성된 RF 펄스 신호의 상승 전이 기울기와 상이한 상승 전이 기울기를 갖는 RF 펄스 신호를 생성한다. 또 다른 예로서, y ㎒ RF 생성기 또는 z ㎒ RF 생성기는 x ㎒ RF 생성기에 의해 생성된 RF 펄스 신호의 하강 전이 기울기와 상이한 하강 전이 기울기를 갖는 RF 펄스 신호를 생성한다. 또 다른 예로서, y ㎒ RF 생성기 또는 z ㎒ RF 생성기는 x ㎒ RF 생성기에 의해 생성된 RF 펄스 신호의 상승 전이 기울기와 동일한 상승 전이 기울기를 갖는 RF 펄스 신호를 생성한다. 또 다른 예로서, y ㎒ RF 생성기 또는 z ㎒ RF 생성기는 x ㎒ RF 생성기에 의해 생성된 RF 펄스 신호의 하강 전이 기울기와 동일한 하강 전이 기울기를 갖는 RF 펄스 신호를 생성한다.
IMC (1070) 는 플라즈마 시스템 (1000) 의 x ㎒ RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기로부터 대응하는 RF 케이블들을 통해 RF 펄스 신호들을 수신하고, 수정된 RF 펄스 신호 (1002) 를 생성하기 위해 RF 펄스 신호들을 결합한다. RF 펄스 신호들을 결합하는 동안, IMC (1070) 는 IMC (1070) 의 입력부들에 연결된 소스의 부하의 임피던스와 IMC (1070) 의 출력부에 연결된 부하의 임피던스를 매칭한다. IMC (1070) 의 입력부에 연결된 소스의 예들은 플라즈마의 시스템 (1000) 은 x ㎒ RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기를 포함한다. 수정된 RF 펄스 신호 (1002) 는 플라즈마 챔버 (206) 내에서 플라즈마를 생성하거나 플라즈마를 지속시키기 위해 RF 송신선 (212) 을 통해 척 (215) 으로 제공된다.
다양한 실시예들에서, 하나 이상의 기울기들 (Slope 3F, Slope 3R, 및 Slope 4F) 은 커브되고, 예를 들어, 지수, 사인, 종-형상, 등이다. 일부 실시예들에서, Slope 4F는 Slope 3F와 상이하다. 예를 들어, Slope 4F는 커브되고 Slope 3F는 직선이다. 또 다른 예로서, Slope 4F는 Slope 3F와 상이한 전이 시간을 갖는다.
몇몇 실시예들에서, Slope 3F는 Slope 3R의 기울기와 상이한 기울기를 갖는다. 예를 들어, Slope 3F는 Slope 3R보다 보다 높거나 보다 낮은 전이 시간을 갖는다.
일부 실시예들에서, Slope 3R, Slope 3F, 및 Slope 4F 각각은 실질적으로 무한대의 기울기가 아닌 기울기이다.
다양한 실시예들에서, 전력량 P0은 0이다. 0의 전력량은 전력량 P0이 x ㎒ RF 생성기에 의해 생성될 때 플라즈마 챔버 (206) 내에서 플라즈마를 턴오프한다. 몇몇 실시예들에서, 전력량 P0은 양의 전력량이다.
일부 실시예들에서, 프로세서 (214) 에 의해 수신된 제어 신호는 제어 회로, 예를 들어, 클록 소스, 신호 생성기 및 결합기, 등의 조합으로부터의 신호이다. 클록 소스의 클록 신호는 3가지 상태들을 갖는 제어 신호를 생성하기 위해 신호 생성기로부터의 신호와 결합, 예를 들어, 추가된다.
다양한 실시예들에서, 고에너지 이온들은 상태들 (상태 1 및 상태 2) 각각의 안정 상태 동안 생성되고, 저에너지 이온들은 상태 1 동안 나머지 시간 기간 동안 생성되고 저에너지 이온들은 상태 2 동안 나머지 시간 기간 동안 생성된다.
도 10d는 구형 RF 펄스 신호 (1062) 로부터 RF 펄스 신호 (1052) 를 생성하기 위해 3가지 상태들의 사용을 예시하기 위한 그래프 (1060) 의 실시예이다. 프로세서 (214) (도 2) 는, 제어 신호의 펄싱 주파수와 동일한, 구형 RF 펄스 신호 (1062) 또는 RF 펄스 신호 (1052) 의 펄싱 주파수를 설정, 예를 들어, 식별, 결정, 등을 한다. 구형 RF 펄스 신호 (1062) 의 펄싱 주파수는 고전력 레벨 PLVL2, 중전력 레벨 PLVL3, 및 저전력 레벨 PLVL1 사이에서 스위칭한다. 중전력 레벨 PLVL3은 고전력 레벨 PLVL2과 저전력 레벨 PLVL1 사이의 전력량들을 포함한다. 고전력 레벨 PLVL2는 상승 전이 RT3 및 하강 전이 FT3을 갖는 인벨롭 ENV3 사이에서 규정된다. 인벨롭 ENV3은 RF 사인 신호의 인벨롭이고 상태 1 동안 구형 RF 펄스 신호 (1062) 의 일부를 형성한다. 또한, 중전력 레벨 PLVL3은 하강 전이 FT3의 에지 EDGE1로부터 시작하고 하강 전이 FT4의 에지 EDGE 2까지 연장하는 인벨롭 ENV4 사이에서 규정된다. 인벨롭 ENV4은 RF 사인 신호의 인벨롭이고 상태 2 동안 구형 RF 펄스 신호 (1062) 의 일부를 형성한다.
일부 실시예들에서, 프로세서 (214) 는 사용자 디바이스를 통해 사용자로부터 구형 RF 펄스 신호 (1062) 또는 RF 펄스 신호 (1052) 의 펄싱 주파수를 수신한다. 예를 들어, 사용자는 구형 RF 펄스 신호 (1062) 또는 RF 펄스 신호 (1052) 의 펄싱 주파수를 나타내는 신호를 제공하기 위해 입력 디바이스를 통해 호스트 시스템 (210) 의 디스플레이 디바이스 상에 디스플레이된 그래픽 사용자 인터페이스 상에서 아이콘 또는 심볼을 선택한다.
프로세서 (214) 는 구형 RF 펄스 신호 (1062) 를 수정하기 위해, 기울기 파라미터 예를 들어, 구형 펄스 신호 (1062) 의 각의 변화를 결정하기 위한 파라미터, 구형 펄스 신호 (1062) 의 상승 전이 또는 하강 전이의 각의 감소를 결정하기 위한 파라미터, 등을 설정, 예를 들어, 식별, 결정한다. 기울기 파라미터는 상승 전이 RT3, 하강 전이 FT3, 및/또는 하강 전이 FT4 각각에 대해 설정된다. 기울기 파라미터는 상승 전이 RT3에 대한 상승 레이트의 감소, 하강 전이 RT3에 대한 하강 레이트의 감소 및/또는 하강 전이 FT4에 대한 하강 레이트의 감소를 규정한다. 예를 들어, 기울기 파라미터는 상승 전이 RT3으로부터 상승 전이 RT4를 달성하기 위해 상승 시간을 증가시키고, 또 다른 기울기 파라미터는 하강 전이 FT3으로부터 하강 전이 FT5를 달성하기 위해 하강 시간을 증가시키고 및/또는 또 다른 기울기 파라미터는 하강 전이 FT4로부터 하강 전이 FT6을 달성하기 위해 하강 시간을 증가시킨다. 상승 전이 RT4는 저전력 레벨 PLVL1으로부터 고전력 레벨 PLVL2로의 전이이다. 하강 전이 FT5는 중전력 레벨 PLVL2로부터 저전력 레벨 PLVL3으로의 전이이다. 또한, 하강 전이 FT5는 중전력 레벨 PLVL3로부터 저전력 레벨 PLVL1로의 전이이다. 상승 전이 RT4를 달성하기 위한 상승 레이트의 감소는 고전력 레벨 PLVL2에서 인벨롭 ENV3의 펄스 폭 PW6를 고전력 레벨 PLVL2에서 인벨롭 ENV5의 펄스 폭 PW7으로 감소시킨다. 인벨롭 ENV5는 사인 신호의 인벨롭이고 상태 1 동안 RF 펄스 신호 (1052) 의 일부를 형성한다. 또한, 하강 전이 FT5를 달성하기 위한 하강 레이트의 감소는 고전력 레벨 PLVL2에서 인벨롭 ENV3의 펄스 폭 PW6을 고전력 레벨 PLVL2으에서 인벨롭 ENV5의 펄스 폭 PW7로 감소시킨다. 또한, 하강 전이 FT6를 달성하기 위한 하강 레이트의 감소는 중전력 레벨 PLVL3에서 인벨롭 ENV4의 펄스 폭 PW8을 중전력 레벨 PLVL3에서 RF 펄스 신호 (1052) 의 인벨롭 ENV6의 펄스 폭 PW9로 감소시킨다. 고전력 레벨 PLVL2 및 중전력 레벨 PLVL3 각각은 저전력 레벨 PLVL1의 펄스 폭 PW10에 대한 시간보다 보다 짧은 지속기간, 예를 들어, 보다 짧은 펄스 폭, 등을 갖는다. 인벨롭 ENV6은 사인 신호의 인벨롭이고 상태 2 동안 RF 펄스 신호 (1052) 의 일부를 형성한다.
일부 실시예들에서, RF 펄스 신호 (1052) 와 연관된 기울기 파라미터는 프로세서 (214) 에 의한 설정을 위해 입력 디바이스를 통해 신호의 형태로 수신된다. 예를 들어, 사용자는 RF 펄스 신호 (1052) 와 연관된 기울기 파라미터를 나타내는 신호를 제공하기 위해 입력 디바이스를 통해 호스트 시스템 (210) 의 디스플레이 디바이스 상에 디스플레이된 그래픽 사용자 인터페이스 상에서 아이콘 또는 심볼을 선택한다.
RF 펄스 신호 (1052) 는 플라즈마 시스템 (200) (도 2) 의 하부 전극에 공급된다. 상승 전이 RT4, 하강 전이 FT4, 및 하강 전이 FT5 동안 저에너지 이온들의 증가가 발생한다. 또한, 고 이온 에너지는 고전력 레벨 PLVL2에서 인벨롭 ENV5의 감소된 펄스 폭 PW7 동안 그리고 중전력 레벨 PLVL3에서 인벨롭 ENV6의 감소된 펄스 폭 PW9 동안 생성된다.
도 11은 에칭 레이트를 증가시키기 위해 상태 3 동안 DC 전력의 인가 및/또는 에칭 동작 동안 에칭 레이트 균일도를 예시하기 위한 그래프 (1100) 의 실시예이다. 도 12는 콘택트 홀 (102) 의 실시예의 도면이다. 그래프 (1100) 는 플라즈마 상태 대 시간 t를 플롯팅한다. 상태 3 동안, 플라즈마 챔버 (206) 내에서 플라즈마는 턴오프되고, 예를 들어, 생성되지 않거나 한다. 플라즈마가 턴오프될 때, 예를 들어, RF 펄스 신호 (1052) 의 상태 3 (도 10c) 동안, 등에서, DC 전력 공급부 (1004) (도 10a) 는 다량의 DC 전력을 생성하기 위해 호스트 시스템 (210) (도 10a) 의 통신 디바이스 및 DC 전력 공급부 (1004) 의 통신 디바이스를 통해 프로세서 (214) (도 10a) 에 의해 제어된다. 일부 실시예들에서, 플라즈마는 RF 펄스 신호 (1052) 의 상태 3 동안 턴오프되지 않는다.
DC 전력은 IMC (1070) 에 연결된 부하의 임피던스를 IMC (1070) 에 연결된 소스의 임피던스와 매칭시키는 IMC (1070) (도 10a) 에 제공된다. IMC (1070) 에 연결된 소스의 예들은 DC 전력 공급부 (1040) 및 DC 전력 공급부 (1040) 를 IMC (1070) 에 연결하는 케이블을 포함한다. DC 전력은 플라즈마 챔버 (206) 내에서 이온들을 생성하도록 RF 송신선 (212) 을 통해 척 (215) 으로 제공된다.
상태 2 (도 11) 동안 플라즈마 챔버 (206) 내에서 생성된 음이온들은 상태 3 (도 11) 에서의 플라즈마 애프터글로우 (afterglow) 동안, 기판 스택 (100) 으로의 양의 DC 전력의 인가에 의해 기판 스택 (100) 을 향해 가속된다. 양의 DC 전력은 DC 전력 공급부 (1040) 에 의해 인가된다. 가속된 음이온들에 의해 생성된 음의 이온 플럭스는 콘택트 홀 (102) (도 12) 의 하단부에 축적된 양의 전하를 뉴트럴화한다 (neutralize). 따라서, 다음 상태 1 및 상태 2 동안 양이온들에 의한 콘택트 홀 (102) 의 하단부의 에칭 레이트는 증가한다.
상기 기술된 실시예들은 척 (215) 의 하부 전극에 RF 신호를 제공하고 상부 전극을 접지하는 것에 관한 것이지만, 몇몇 실시예들에서, RF 신호는 척 (215) 의 하부 전극이 접지되는 동안 상부 전극에 제공된다는 것을 주의해야 한다.
일 양태에서, 본 명세서에 기술된 일부 실시예들은 휴대용 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서 기반 또는 프로그램가능 가전제품들, 미니 컴퓨터들, 메인프레임 컴퓨터들, 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시된다. 일 양태에서, 본 명세서에 기술된 일부 실시예들은 태스크들이 컴퓨터 네트워크를 통해 링크된 원격 프로세싱 하드웨어 유닛들에 의해 수행되는 분산형 컴퓨팅 환경들에서 실시된다.
다양한 실시예들에서, 제어기는 시스템의 일부이다. 시스템은 프로세싱 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 위한 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 플랫폼 또는 플랫폼들을 포함하는 반도체 프로세싱 장비를 포함한다. 이들 시스템은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 동안에 또는 이후에 그의 동작을 제어하기 위한 전자 장치들과 통합된다. 이 전자 장치들은 시스템의 다양한 컴포넌트들 또는 하위부품들을 제어하는 "제어기"로서 지칭된다. 제어기는 프로세싱 요건들 및/또는 시스템 타입에 따라, 프로세스 가스들, 온도 설정 사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정 사항들, 진공 설정 사항들, 전력 설정 사항들, RF 생성기 설정 사항들, RF 매칭 회로 설정 사항들, 주파수 설정 사항들, 플로우 레이트 설정 사항들, 유체 전달 설정 사항들, 위치 및 동작 설정 사항들, 및 시스템에 연결되거나 시스템과 인터페이싱하는 툴 및 다른 전달 툴들 및/또는 로드록들 내외로의 웨이퍼 이송 등을 포함하는 레시피를 사용하여, 본 명세서에서 기술된 임의의 프로세스를 제어하도록 프로그램된다.
일반적으로 말하면, 다양한 실시예들에서, 제어기는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치들로서 규정되며, 이들은 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고 엔드포인트 측정, 등을 인에이블한다. 집적 회로는 프로그램 인스트럭션들을 저장하는 펌웨어 형태로 된 칩들, DSP들 (digital signal processors), ASIC들로서 규정되는 칩들, PLD들, 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 를 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함한다. 프로그램 인스트럭션들은 반도체 웨이퍼 상의 또는 이에 대한 프로세스를 실행하기 위한 동작 파라미터들을 규정하는 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 통신되는 인스트럭션들이다. 동작 파라미터들은, 일부 실시예들에서, 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하기 위해서 프로세스 엔지니어들에 의해서 규정된 레시피의 일부이다.
제어기는 일부 실시예들에서, 시스템에 통합되거나 시스템에 커플링되거나 이와 달리 시스템에 네트워킹되거나 이들의 조합으로 된 컴퓨터에 커플링되거나 컴퓨터의 일부이다. 예를 들어, 제어기는 "클라우드" 내에 있거나 팹 (fab) 호스트 컴퓨터 시스템의 일부 또는 전부이며, 이는 웨이퍼 프로세싱을 위한 원격 액세스를 가능하게 한다. 제어기는 제조 동작들의 현 진행 사항을 모니터링하기 위해서 시스템으로의 원격 액세스를 인에이블하며, 현 프로세싱의 파라미터를 변화시키도록, 현 프로세싱을 따르도록 프로세싱 단계들을 설정하기 위해, 또는 새로운 프로세스를 시작하게 하도록 지난 제조 동작들의 이력을 검사하고, 복수의 제조 동작들로부터의 경향성들 또는 성능 계측사항들을 검사한다.
일부 실시예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 프로세스 레시피들을 네트워크를 통해 시스템에 제공하며, 이 네트워크는 로컬 네트워크 또는 인터넷을 포함한다. 원격 컴퓨터는 사용자 인터페이스들을 포함하며 이 인터페이스는 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하며, 이들은 이어서 원격 컴퓨터로부터 시스템으로 통신된다. 다양한 실시예들에서, 제어기는 웨이퍼를 프로세싱하기 위한 설정사항들의 형태로 인스트럭션들을 수신한다. 파라미터들은 수행될 프로세스 타입 및 제어기가 인터페이싱하거나 제어하는 툴의 타입에 특정된다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예를 들어 서로 네트워킹된 하나 이상의 개별 제어기들을 포함시키고 예를 들어 본 명세서에서 기술된 프로세스들과 같은 공통 목적을 위해서 작동시킴으로써 분산된다. 이러한 목적을 위한 분산형 제어기의 예는 챔버에서 프로세스를 제어하도록 결합되는 이격되게 위치된 (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들을 포함한다.
비한정적으로, 다양한 실시예들에서, 시스템은 플라즈마 에칭 챔버, 증착 챔버, 스핀-린스 챔버, 금속 도금 챔버, 세정 챔버, 베벨 에지 에칭 챔버, PVD (physical vapor deposition) 챔버, CVD (chemical vapor deposition) 챔버, ALD (atomic layer deposition) 챔버, ALE (atomic layer etch) 챔버, 이온 주입 챔버, 추적 챔버, 및 반도체 웨이퍼들을 가공 및/또는 제조시에 사용되거나 연관된 임의의 다른 반도체 프로세싱 챔버를 포함한다.
상기 기술된 동작들이 병렬 플레이트 플라즈마 챔버, 예를 들어, 용량 결합 플라즈마 챔버, 등을 참조하여 설명되었으나, 일부 실시예들에서, 상기 기술된 동작들은 다른 타입들의 플라즈마 챔버들, 예를 들어, 유도 결합 플라즈마 (ICP: inductively coupled plasma) 반응기, 변압기 커플링된 플라즈마 (TCP: transformer coupled plasma) 반응기, 도전체 툴, 유전체 툴을 포함하는 플라즈마 챔버, 전자 사이클론 공명 (ECR: electron-cyclotron resonance) 반응기를 포함하는 플라즈마 챔버 등에 적용된다는 것이 또한 주목되어야 한다. 예를 들어, x ㎒ RF 생성기, y ㎒ RF 생성기, 및 z ㎒ RF 생성기는 ICP 플라즈마 챔버 내의 ESC와 커플링된다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 동작에 따라서, 제어기는 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접하는 툴들, 이웃하는 툴들, 공장 전반에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 반도체 제조 공장에서 웨이퍼들의 용기들을 툴 위치들 및/또는 로드 포트들로 그리고 이들로부터 이동하는 재료 이송 시에 사용되는 툴들 중 하나 이상과 통신한다.
상기 실시예들을 염두에 두면서, 일부 실시예들은 컴퓨터 시스템들 내에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용한다는 것이 이해되어야 한다. 이러한 컴퓨터-구현된 동작들은 물리적 정량들을 조작하는 것들이다.
일부 실시예들은 또한 이러한 동작들을 수행하기 위한 하드웨어 유닛 또는 장치에 관한 것이다. 이러한 장치는 특수 목적 컴퓨터에 대해 특정하게 구성된다. 특수 목적 컴퓨터로서 규정되는 때에, 컴퓨터는 여전히 이러한 특수 목적을 위해서 동작할 수 있으면서도 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행한다.
일부 실시예들에서, 본 명세서에 기술된 동작들은 선택적으로 활성화되는 컴퓨터에 의해서 수행되거나, 컴퓨터 메모리 내에 저장된 하나 이상의 컴퓨터 프로그램들에 의해서 설정되거나, 컴퓨터 네트워크를 통해서 획득된다. 데이터가 컴퓨터 네트워크를 통해서 획득되는 경우에, 데이터는 컴퓨터 네트워크, 예를 들어서, 컴퓨팅 리소스들의 클라우드 상의 다른 컴퓨터들에 의해서 프로세싱될 수도 있다.
본 명세서에서 기술된 하나 이상의 실시예들은 또한 비일시적 컴퓨터-판독가능 매체 상의 컴퓨터-판독가능 코드로서 가공될 수 있다. 비일시적 컴퓨터-판독가능 매체는 이후에 컴퓨터 시스템에 의해서 판독되는 데이터를 저장하는 임의의 데이터 저장 하드웨어 유닛, 예를 들어, 메모리 디바이스, 등이다. 비일시적 컴퓨터-판독가능 매체의 예들은 하드 드라이브들 (hard drives), NAS (network attached storage), ROM, RAM, 컴팩트 디스크-ROMs (CD-ROMs), CD-Recordables (CD-Rs), CD-rewritables (CD-RWs), 자기 테이프들 및 다른 광학적 및 비광학적 데이터 저장 하드웨어 유닛들을 포함한다. 일부 실시예들에서, 비일시적 컴퓨터-판독가능 매체는 컴퓨터 판독가능 코드가 분산된 방식으로 저장 및 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해서 배포되는 컴퓨터-판독가능 유형의 매체를 포함한다.
본 명세서에 기술된 일부 방법 동작들은 특정 순서로 제공되었지만, 다양한 실시예들에서, 다른 하우스키핑 (housekeeping) 동작들이 방법 동작들 간에서 수행되거나, 또는 방법 동작들이 근소하게 상이한 시간들에서 발생하게 조정되거나, 다양한 인터벌들로 방법 동작들이 발생하도록 시스템에서 분산되거나, 또는 상기 기술된 바와 상이한 순서로 수행된다는 것이 이해되어야 한다.
일 실시예에서, 상기 기술된 임의의 실시예로부터의 하나 이상의 특징사항들은 본 개시에서 기술된 다양한 실시예들에서 기술된 범위를 벗어나지 않고서 임의의 다른 실시예들의 하나 이상의 특징사항들과 조합된다는 것을 또한 주의해야 한다.
전술한 실시예들이 이해의 명료성을 위해서 어느 정도 세부적으로 기술되었지만, 특정 변경들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것은 명백할 것이다. 따라서, 본 실시예들은 예시적으로 해석되어야지 한정적으로 해석되지 말아야 하며, 실시예들은 본 명세서에서 주어진 세부사항으로 한정되지 말아야 하며 대신에 첨부된 청구항들의 범위 및 균등 범위 내에서 수정될 수 있다.

Claims (36)

  1. 플라즈마 프로세싱 시스템의 전극 상에 위치될 때 기판 스택을 에칭하기 위해 사용된 상기 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법에 있어서,
    플라즈마 챔버에 연결된 센서로부터 상기 플라즈마 챔버 내에서 측정된 이온들의 이온 에너지를 수신하는 단계;
    RF (radio frequency) 생성기의 펄싱 주파수를 설정하는 단계로서, 상기 RF 생성기는 상기 펄싱 주파수를 갖는 RF 펄스 신호를 생성하고, 상기 펄싱 주파수는 저전력 레벨과 고전력 레벨 사이에서 스위칭하고, 상기 고전력 레벨은 상승 전이와 하강 전이를 갖는 인벨롭 사이에서 규정되는, 상기 RF 생성기의 펄싱 주파수를 설정하는 단계;
    미리 결정된 이온 에너지 문턱값에 액세스하는 단계;
    상기 측정된 이온 에너지가 상기 미리 결정된 이온 에너지 문턱값보다 큰지 여부를 결정하는 단계;
    상기 측정된 이온 에너지가 상기 미리 결정된 이온 에너지 문턱값보다 크다는 결정시 기울기 파라미터를 설정하는 단계로서, 상기 기울기 파라미터는 상기 RF 펄스 신호를 수정하기 위해 설정되고, 상기 기울기 파라미터는 상기 인벨롭의 상기 상승 전이 및 상기 하강 전이 각각에 대해 설정되고, 상기 기울기 파라미터는 상기 상승 전이에 대한 상승 레이트의 감소 및 상기 하강 전이에 대한 하강 레이트의 감소를 규정하고, 상기 상승 레이트 및 상기 하강 레이트의 감소는 상기 고전력 레벨에서 상기 인벨롭의 감소된 펄스 폭을 성형하고 (shape), 상기 고전력 레벨은 상기 펄싱 주파수 동안 상기 저전력 레벨의 지속기간보다 짧은 지속기간을 갖는, 상기 기울기 파라미터를 설정하는 단계; 및
    상기 기울기 파라미터에 의해 수정된 상기 RF 펄스 신호를 상기 플라즈마 프로세싱 시스템의 상기 전극에 공급하는 단계로서, 상기 RF 펄스 신호가 상기 기울기 파라미터에 의해 수정될 때, 저에너지 이온들의 증가는 상기 상승 전이 및 상기 하강 전이 동안 발생하고, 고 이온 에너지는 상기 인벨롭의 상기 감소된 펄스 폭 동안 생성되는, 상기 수정된 상기 RF 펄스 신호를 상기 플라즈마 프로세싱 시스템의 상기 전극에 공급하는 단계를 포함하는, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 RF 펄스 신호의 형상을 설정하는 단계를 더 포함하고, 상기 형상은 상기 상승 전이 또는 상기 하강 전이와 연관되는, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 펄싱 주파수는 상기 인벨롭이 상기 고전력 레벨과 상기 저전력 레벨 사이에서 펄싱되는 주파수인, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 RF 펄스 신호는 무선 주파수를 갖고,
    상기 무선 주파수는 상기 펄싱 주파수와 상이한, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 저전력 레벨은 상기 고전력 레벨의 전력량보다 낮은 전력량을 갖는, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 인벨롭은 상기 RF 펄스 신호의 진폭인, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 상승 전이는 상기 저전력 레벨로부터 상기 고전력 레벨로의 전이인, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 하강 전이는 상기 고전력 레벨로부터 상기 저전력 레벨로의 전이인, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 기울기 파라미터는 상승 시간 동안 상기 RF 펄스 신호의 각을 제공하는, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 기울기 파라미터는 하강 시간 동안 상기 RF 펄스 신호의 각을 제공하는, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  11. 제 1 항에 있어서,
    상기 RF 펄스 신호는 구형 펄스 신호 이외의 펄스 신호로 수정되는, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  12. 제 1 항에 있어서,
    상기 상승 레이트의 상기 감소는 상기 상승 전이에 대한 상승 시간을 증가시키고, 상기 상승 시간은 상기 저전력 레벨로부터 상기 고전력 레벨로의 전이 동안인, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  13. 제 1 항에 있어서,
    상기 하강 레이트의 상기 감소는 상기 하강 전이에 대한 하강 시간을 증가시키고, 상기 하강 시간은 상기 고전력 레벨로부터 상기 저전력 레벨로의 전이 동안인, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  14. 제 1 항에 있어서,
    상기 인벨롭의 상기 감소된 펄스 폭은 구형 펄스 신호의 펄스 폭에 비해 감소되는, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  15. 제 1 항에 있어서,
    상기 고전력 레벨의 상기 지속기간은 구형 펄스 신호의 펄스 폭 지속기간보다 짧은, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  16. 제 1 항에 있어서,
    상기 기울기 파라미터에 의해 수정된 상기 RF 펄스 신호를 공급하는 단계는, RF 케이블, 임피던스 매칭 회로, 및 RF 송신선을 통해 수정되는 상기 RF 펄스 신호를 상기 플라즈마 챔버의 상기 전극으로 공급하는 단계를 포함하고, 상기 RF 생성기는 상기 RF 케이블을 통해 상기 임피던스 매칭 회로에 커플링되고, 상기 플라즈마 챔버는 상기 RF 송신선을 통해 상기 임피던스 매칭 회로에 연결되는, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  17. 제 1 항에 있어서,
    상기 저에너지 이온들은 상기 고 이온 에너지를 갖는 고에너지 이온들보다 낮은 에너지를 갖는, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  18. 제 1 항에 있어서,
    상기 저에너지 이온들의 양을 증가시키기 위해 상기 RF 펄스 신호의 듀티 사이클을 최적화하는 단계를 더 포함하는, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  19. 제 1 항에 있어서,
    상기 기울기 파라미터 및 상기 감소된 펄스 폭은 구형 RF 펄스와 연관된 선택도에 비해 상기 기판 스택의 옥사이드층과 연관된 선택도를 증가시키고, 상기 기울기 파라미터 및 상기 감소된 펄스 폭은 상기 구형 RF 펄스와 연관된 에칭 레이트 균일도에 비해 선택적인 에칭과 연관된 에칭 레이트 균일도를 증가시키는, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  20. 플라즈마 프로세싱 시스템의 전극 상에 위치될 때 기판 스택을 에칭하기 위해 사용된 동작 파라미터들을 설정하기 위한 상기 플라즈마 프로세싱 시스템에 있어서,
    RF 펄스 신호를 생성하기 위한 RF 생성기; 및
    플라즈마 챔버에 연결된 센서로부터 상기 플라즈마 챔버 내에서 측정된 이온들의 이온 에너지를 수신하고, 상기 RF 생성기의 펄싱 주파수를 설정하고, 미리 결정된 이온 에너지 문턱값에 액세스하고, 상기 측정된 이온 에너지가 상기 미리 결정된 이온 에너지 문턱값보다 큰지 여부를 결정하고, 상기 측정된 이온 에너지가 상기 미리 결정된 이온 에너지 문턱값보다 크다는 결정시 기울기 파라미터를 설정하도록 구성되는 호스트 컴퓨터를 포함하고,
    상기 RF 펄스 신호는 상기 펄싱 주파수를 갖고, 상기 펄싱 주파수는 저전력 레벨과 고전력 레벨 사이에서 스위칭하고, 상기 고전력 레벨은 상승 전이와 하강 전이를 갖는 인벨롭 사이에서 규정되고,
    상기 기울기 파라미터는 상기 RF 펄스 신호를 수정하기 위해 설정되고, 상기 기울기 파라미터는 상기 인벨롭의 상기 상승 전이 및 상기 하강 전이 각각에 대해 설정되고, 상기 기울기 파라미터는 상기 상승 전이에 대한 상승 레이트의 감소 및 상기 하강 전이에 대한 하강 레이트의 감소를 규정하고, 상기 상승 레이트 및 상기 하강 레이트의 감소는 상기 고전력 레벨에서 상기 인벨롭의 감소된 펄스 폭을 성형하고, 상기 고전력 레벨은 상기 펄싱 주파수 동안 상기 저전력 레벨보다 짧은 지속기간을 갖고,
    상기 RF 생성기는 상기 기울기 파라미터에 의해 수정된 상기 RF 펄스 신호를 상기 플라즈마 프로세싱 시스템의 상기 전극으로 공급하도록 구성되는, 동작 파라미터들을 설정하기 위한 플라즈마 프로세싱 시스템.
  21. 제 20 항에 있어서,
    상기 호스트 컴퓨터는 상기 RF 펄스 신호의 형상을 설정하도록 더 구성되고,
    상기 형상은 상기 상승 전이 또는 상기 하강 전이와 연관되는, 동작 파라미터들을 설정하기 위한 플라즈마 프로세싱 시스템.
  22. 제 20 항에 있어서,
    상기 펄싱 주파수는 상기 인벨롭이 상기 고전력 레벨과 상기 저전력 레벨 사이에서 펄싱되는 주파수인, 동작 파라미터들을 설정하기 위한 플라즈마 프로세싱 시스템.
  23. 제 20 항에 있어서,
    상기 RF 펄스 신호는 무선 주파수를 갖고, 상기 무선 주파수는 상기 펄싱 주파수와 상이한, 동작 파라미터들을 설정하기 위한 플라즈마 프로세싱 시스템.
  24. 플라즈마 프로세싱 시스템의 전극 상에 위치될 때 기판 스택을 에칭하기 위해 사용된 상기 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법에 있어서,
    플라즈마 챔버에 연결된 센서로부터 상기 플라즈마 챔버 내에서 측정된 이온들의 이온 에너지를 수신하는 단계;
    RF 생성기의 펄싱 주파수를 설정하는 단계로서, 상기 RF 생성기는 상기 펄싱 주파수를 갖는 RF 펄스 신호를 생성하고, 상기 펄싱 주파수는 저전력 레벨, 중전력 레벨 및 고전력 레벨 사이에서 스위칭하고, 상기 고전력 레벨은 상승 전이와 제 1 하강 전이를 갖는 인벨롭 사이에서 규정되고, 상기 중전력 레벨은 상기 제 1 하강 전이의 에지로부터 시작하고 제 2 하강 전이의 에지까지의 인벨롭 사이에서 규정되는, 상기 RF 생성기의 펄싱 주파수를 설정하는 단계;
    미리 결정된 이온 에너지 문턱값에 액세스하는 단계;
    상기 측정된 이온 에너지가 상기 미리 결정된 이온 에너지 문턱값보다 큰지 여부를 결정하는 단계;
    상기 측정된 이온 에너지가 상기 미리 결정된 이온 에너지 문턱값보다 크다는 결정시 기울기 파라미터를 설정하는 단계로서, 상기 기울기 파라미터는 상기 RF 펄스 신호를 수정하기 위해 설정되고, 상기 기울기 파라미터는 상기 상승 전이, 상기 제 1 하강 전이 및 상기 제 2 하강 전이 각각에 대해 설정되고, 상기 기울기 파라미터는 상기 상승 전이에 대한 상승 레이트의 감소, 상기 제 1 하강 전이에 대한 하강 레이트의 감소, 및 상기 제 2 하강 전이에 대한 하강 레이트의 감소를 규정하고, 상기 상승 레이트 및 상기 제 1 하강 전이에 대한 하강 레이트의 감소는 상기 고전력 레벨에서 상기 인벨롭의 감소된 펄스 폭을 성형하고, 상기 제 2 하강 전이에 대한 하강 레이트의 감소는 상기 중전력 레벨에서 상기 인벨롭의 감소된 펄스 폭을 성형하고, 상기 고전력 레벨 및 상기 중전력 레벨 각각은 상기 펄싱 주파수 동안 상기 저전력 레벨보다 짧은 지속기간을 갖는, 상기 기울기 파라미터를 설정하는 단계; 및
    상기 기울기 파라미터에 의해 수정된 상기 RF 펄스 신호를 상기 플라즈마 프로세싱 시스템의 상기 전극에 공급하는 단계로서, 상기 RF 펄스 신호가 상기 기울기 파라미터에 의해 수정될 때, 저에너지 이온들의 증가는 상기 상승 전이, 상기 제 1 하강 전이 및 상기 제 2 하강 전이 동안 발생하고, 고 이온 에너지는 상기 고전력 레벨과 상기 중전력 레벨에서 상기 인벨롭들의 상기 감소된 펄스 폭들 동안 생성되는, 상기 수정된 상기 RF 펄스 신호를 상기 플라즈마 프로세싱 시스템의 상기 전극에 공급하는 단계를 포함하는, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  25. 제 24 항에 있어서,
    상기 기판 스택의 에칭과 연관된 전하와 반대되는 전하를 갖는 이온들을 생성하기 위해 상기 저전력 레벨 동안 전력을 공급하도록 DC (direct current) 전력 공급부를 제어하는 단계를 더 포함하고, 상기 이온들은 상기 기판 스택의 에칭과 연관된 상기 전하를 감소시키도록 생성되는, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  26. 제 24 항에 있어서,
    상기 중전력 레벨은 상기 고전력 레벨과 상기 저전력 레벨 사이인, 플라즈마 프로세싱 시스템의 동작 파라미터들을 설정하기 위한 방법.
  27. 메모리 디바이스; 및
    상기 메모리 디바이스에 커플링된 프로세서를 포함하고,
    상기 프로세서는,
    플라즈마 챔버에 연결된 센서로부터 상기 플라즈마 챔버 내에서 측정된 이온들의 이온 에너지를 수신하고, RF 펄스 신호의 펄싱 주파수를 설정하고, 미리 결정된 이온 에너지 문턱값에 액세스하고, 상기 측정된 이온 에너지가 상기 미리 결정된 이온 에너지 문턱값보다 큰지 여부를 결정하고, 상기 측정된 이온 에너지가 상기 미리 결정된 이온 에너지 문턱값보다 크다는 결정시 기울기 파라미터를 설정하도록 구성되고,
    상기 펄싱 주파수는 저전력 레벨과 고전력 레벨 사이에서 스위칭하고, 상기 고전력 레벨은 상승 전이와 하강 전이를 갖는 인벨롭 사이에서 규정되고, 그리고
    상기 기울기 파라미터는 상기 RF 펄스 신호를 수정하기 위해 설정되고, 상기 기울기 파라미터는 상기 인벨롭의 상기 상승 전이 및 상기 하강 전이 각각에 대해 설정되고, 상기 기울기 파라미터는 상기 상승 전이에 대한 상승 레이트의 감소 및 상기 하강 전이에 대한 하강 레이트의 감소를 규정하고, 상기 상승 레이트 및 상기 하강 레이트의 감소는 상기 고전력 레벨에서 상기 인벨롭의 감소된 펄스 폭을 성형하고, 상기 고전력 레벨은 상기 펄싱 주파수 동안 상기 저전력 레벨보다 짧은 지속기간을 갖는, 호스트 컴퓨터.
  28. 제 27 항에 있어서,
    상기 프로세서는 상기 RF 펄스 신호의 형상을 설정하도록 더 구성되고, 상기 형상은 상기 상승 전이 또는 상기 하강 전이와 연관되는, 호스트 컴퓨터.
  29. 제 28 항에 있어서,
    상기 상승 전이 동안 상기 RF 펄스 신호의 상기 형상은 직선이고 상기 하강 전이 동안 상기 RF 펄스 신호의 상기 형상은 커브되고, 또는
    상기 상승 전이 동안 상기 RF 펄스 신호의 상기 형상은 커브되고 상기 하강 전이 동안 상기 RF 펄스 신호의 상기 형상은 직선이고, 또는
    상기 상승 전이 동안 상기 RF 펄스 신호의 상기 형상은 커브되고 상기 하강 전이 동안 상기 RF 펄스 신호의 상기 형상은 커브되고, 또는
    상기 상승 전이 동안 상기 RF 펄스 신호의 상기 형상은 직선이고 상기 하강 전이 동안 상기 RF 펄스 신호의 상기 형상은 직선인, 호스트 컴퓨터.
  30. 제 27 항에 있어서,
    상기 펄싱 주파수는 상기 인벨롭이 상기 고전력 레벨과 상기 저전력 레벨 사이에서 펄싱되는 주파수인, 호스트 컴퓨터.
  31. 제 27 항에 있어서,
    상기 RF 펄스 신호는 무선 주파수를 갖고 상기 무선 주파수는 상기 펄싱 주파수와 상이한, 호스트 컴퓨터.
  32. 제 27 항에 있어서,
    상기 상승 전이 및 상기 하강 전이 각각에 대한 상기 기울기 파라미터는 무한대보다 작은, 호스트 컴퓨터.
  33. 펄싱 주파수를 갖는 RF 펄스 신호를 생성하도록 구성된 RF 생성기로서, 상기 펄싱 주파수는 저전력 레벨, 중전력 레벨 및 고전력 레벨 사이에서 스위칭하고, 상기 고전력 레벨은 상승 전이와 제 1 하강 전이를 갖는 인벨롭 사이에서 규정되고, 상기 중전력 레벨은 상기 제 1 하강 전이의 에지로부터 시작하고 제 2 하강 전이의 에지까지의 인벨롭 사이에서 규정되는, 상기 RF 생성기;
    상기 RF 생성기에 커플링된 임피던스 매칭 회로;
    상기 임피던스 매칭 회로에 커플링된 전극; 및
    상기 RF 생성기에 커플링된 호스트 컴퓨터로서, 상기 호스트 컴퓨터는 플라즈마 챔버에 연결된 센서로부터 상기 플라즈마 챔버 내에서 측정된 이온들의 이온 에너지를 수신하고, 미리 결정된 이온 에너지 문턱값에 액세스하고, 상기 측정된 이온 에너지가 상기 미리 결정된 이온 에너지 문턱값보다 큰지 여부를 결정하고, 상기 측정된 이온 에너지가 상기 미리 결정된 이온 에너지 문턱값보다 크다는 결정시 기울기 파라미터를 설정하도록 구성되는 상기 호스트 컴퓨터를 포함하고,
    상기 기울기 파라미터는 상기 RF 펄스 신호를 수정하기 위해 설정되고, 상기 기울기 파라미터는 상기 상승 전이, 상기 제 1 하강 전이 및 상기 제 2 하강 전이 각각에 대해 설정되고, 상기 기울기 파라미터는 상기 상승 전이에 대한 상승 레이트의 감소, 상기 제 1 하강 전이에 대한 하강 레이트의 감소, 및 상기 제 2 하강 전이에 대한 하강 레이트의 감소를 규정하고, 상기 상승 레이트 및 상기 제 1 하강 전이에 대한 하강 레이트의 감소는 상기 고전력 레벨에서 상기 인벨롭의 감소된 펄스 폭을 성형하고, 상기 제 2 하강 전이에 대한 하강 레이트의 감소는 상기 중전력 레벨에서 상기 인벨롭의 감소된 펄스 폭을 성형하고, 상기 고전력 레벨 및 상기 중전력 레벨 각각은 상기 저전력 레벨보다 짧은 지속기간을 갖고,
    상기 RF 생성기는 상기 임피던스 매칭 회로에 상기 기울기 파라미터에 의해 수정된 상기 RF 펄스 신호를 공급하도록 구성되는, 시스템.
  34. 제 33 항에 있어서,
    기판 스택의 에칭과 연관된 전하와 반대되는 전하를 갖는 이온들을 생성하기 위해 상기 저전력 레벨 동안 전력을 공급하도록 구성된 DC 전력 공급부를 더 포함하고, 상기 이온들은 상기 기판 스택의 에칭과 연관된 상기 전하를 감소시키도록 생성되는, 시스템.
  35. 제 33 항에 있어서,
    상기 중전력 레벨은 상기 고전력 레벨과 상기 저전력 레벨 사이인, 시스템.
  36. 제 33 항에 있어서,
    상기 호스트 컴퓨터는 상기 RF 펄스 신호의 형상을 설정하도록 더 구성되고, 상기 형상은 상기 상승 전이 또는 상기 제 1 하강 전이와 연관되는, 시스템.
KR1020150173310A 2014-12-15 2015-12-07 Rf 펄스 형상에 의한 이온 에너지 제어 KR102575053B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230115805A KR20230129220A (ko) 2014-12-15 2023-08-31 Rf 펄스 형상에 의한 이온 에너지 제어

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/570,859 US9536749B2 (en) 2014-12-15 2014-12-15 Ion energy control by RF pulse shape
US14/570,859 2014-12-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230115805A Division KR20230129220A (ko) 2014-12-15 2023-08-31 Rf 펄스 형상에 의한 이온 에너지 제어

Publications (2)

Publication Number Publication Date
KR20160072786A KR20160072786A (ko) 2016-06-23
KR102575053B1 true KR102575053B1 (ko) 2023-09-04

Family

ID=56111870

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150173310A KR102575053B1 (ko) 2014-12-15 2015-12-07 Rf 펄스 형상에 의한 이온 에너지 제어
KR1020230115805A KR20230129220A (ko) 2014-12-15 2023-08-31 Rf 펄스 형상에 의한 이온 에너지 제어

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230115805A KR20230129220A (ko) 2014-12-15 2023-08-31 Rf 펄스 형상에 의한 이온 에너지 제어

Country Status (4)

Country Link
US (2) US9536749B2 (ko)
KR (2) KR102575053B1 (ko)
CN (2) CN109103064B (ko)
TW (1) TW201643956A (ko)

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9613784B2 (en) 2008-07-17 2017-04-04 Mks Instruments, Inc. Sputtering system and method including an arc detection
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10821542B2 (en) 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10049857B2 (en) 2014-12-04 2018-08-14 Mks Instruments, Inc. Adaptive periodic waveform controller
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
EP3091559A1 (en) * 2015-05-05 2016-11-09 TRUMPF Huettinger Sp. Z o. o. Plasma impedance matching unit, system for supplying rf power to a plasma load, and method of supplying rf power to a plasma load
US9721758B2 (en) 2015-07-13 2017-08-01 Mks Instruments, Inc. Unified RF power delivery single input, multiple output control for continuous and pulse mode operation
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9876476B2 (en) 2015-08-18 2018-01-23 Mks Instruments, Inc. Supervisory control of radio frequency (RF) impedance tuning operation
US10395895B2 (en) 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9947514B2 (en) 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US10553411B2 (en) * 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US20170330764A1 (en) * 2016-05-12 2017-11-16 Lam Research Corporation Methods and apparatuses for controlling transitions between continuous wave and pulsing plasmas
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR20180077392A (ko) * 2016-12-28 2018-07-09 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조 방법
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10546724B2 (en) 2017-05-10 2020-01-28 Mks Instruments, Inc. Pulsed, bidirectional radio frequency source/load
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10396601B2 (en) 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
CN109119317B (zh) * 2017-06-23 2020-11-10 北京北方华创微电子装备有限公司 一种偏压调制方法、偏压调制系统和等离子体处理设备
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US10002746B1 (en) * 2017-09-13 2018-06-19 Lam Research Corporation Multi regime plasma wafer processing to increase directionality of ions
KR101978353B1 (ko) * 2017-09-27 2019-05-14 건국대학교 산학협력단 플라즈마 생성을 위한 에너지 출력 효율 조절 장치 및 방법
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102003942B1 (ko) * 2017-11-07 2019-07-25 한국원자력연구원 정합 장치를 포함하는 플라즈마 발생 장치 및 임피던스 정합 방법
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
JP7235761B2 (ja) 2017-11-17 2023-03-08 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理源および基板バイアスの同期パルス化
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
CN111434039A (zh) * 2017-12-07 2020-07-17 朗姆研究公司 用于半导体rf等离子体处理的脉冲内的rf脉冲
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
CN108509377B (zh) * 2018-03-19 2021-07-27 东南大学 一种基于沿特征提取的脉冲信号到达时间与脉宽估计方法
US10224183B1 (en) * 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
US10304660B1 (en) * 2018-03-21 2019-05-28 Lam Research Corporation Multi-level pulsing of DC and RF signals
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10854427B2 (en) * 2018-08-30 2020-12-01 Applied Materials, Inc. Radio frequency (RF) pulsing impedance tuning with multiplier mode
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR102438864B1 (ko) * 2018-09-28 2022-08-31 램 리써치 코포레이션 플라즈마 챔버의 전극으로 전력 전달 최적화를 위한 방법들 및 시스템들
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN109273341B (zh) * 2018-10-18 2021-01-08 北京北方华创微电子装备有限公司 一种等离子体工艺方法
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
KR20210136481A (ko) 2020-05-07 2021-11-17 삼성전자주식회사 플라즈마 공정 시스템, 그 시스템에서의 플라즈마 제어방법, 및 그 제어방법을 포함한 반도체 소자 제조방법
US20230230804A1 (en) * 2020-07-08 2023-07-20 Lam Research Corporation Process control for ion energy delivery using multiple generators and phase control
CN114512541B (zh) * 2020-11-17 2024-03-15 和舰芯片制造(苏州)股份有限公司 一种半导体衬底的沟槽栅蚀刻方法及器件
TW202243549A (zh) * 2021-04-22 2022-11-01 大陸商北京屹唐半導體科技股份有限公司 用於感應耦合電漿(icp)負載的雙頻匹配電路
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
WO2023172384A1 (en) * 2022-03-07 2023-09-14 Lam Research Corporation Systems and methods for reducing reflected power after a state transition
WO2023211665A1 (en) * 2022-04-25 2023-11-02 Lam Research Corporation Method to enhance etch rate and improve critical dimension of features and mask selectivity
WO2023235675A1 (en) * 2022-06-03 2023-12-07 Lam Research Corporation Customizing etch selectivity and high aspect ratio feature loading through multi-level pulsing schemes utilizing sinusoidal and custom rf waveforms
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
WO2024091796A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Systems and methods for controlling a pulse width of a square pulse waveform

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009246091A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US20140118031A1 (en) * 2012-10-30 2014-05-01 Mks Instruments, Inc. RF Pulse Edge Shaping

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
EP1098359A4 (en) 1998-06-02 2003-11-19 Nikon Corp SCANNING ALIGNMENT MEMBER, MANUFACTURING METHOD THEREOF, AND DEVICE MANUFACTURING METHOD
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP4270872B2 (ja) 2001-03-16 2009-06-03 東京エレクトロン株式会社 インピーダンスをモニターするシステム並びに方法
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
WO2003055286A1 (fr) 2001-12-10 2003-07-03 Tokyo Electron Limited Source de puissance haute frequence et son procede de commande, et processeur a plasma
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP4455887B2 (ja) 2002-03-28 2010-04-21 東京エレクトロン株式会社 電気的特性を利用して、プラズマ反応炉内の膜の状態を判断するシステムおよび方法
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
TWI259546B (en) 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW200420201A (en) 2002-12-16 2004-10-01 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) * 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US8192576B2 (en) * 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
EP2599506B1 (en) 2007-11-06 2018-07-11 Creo Medical Limited Applicator for microwave plasma sterilisation
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
CN102027810B (zh) 2008-05-14 2014-08-13 应用材料公司 使用rf功率传递的时间分解调频方案以用于脉冲等离子体工艺的方法及设备
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
CN102160155A (zh) 2008-09-22 2011-08-17 应用材料公司 适合蚀刻高深宽比特征结构的蚀刻反应器
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
WO2011063246A2 (en) 2009-11-19 2011-05-26 Lam Research Corporation Methods and apparatus for controlling a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) * 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US9907908B2 (en) 2011-03-08 2018-03-06 Baxter International Inc. Non-invasive radio frequency medical fluid level and volume detection system and method
US9165188B2 (en) 2012-01-12 2015-10-20 Kofax, Inc. Systems and methods for mobile image capture and processing
US9368329B2 (en) * 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9114666B2 (en) * 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9171700B2 (en) * 2012-06-15 2015-10-27 COMET Technologies USA, Inc. Plasma pulse tracking system and method
JP5822795B2 (ja) * 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
SG10202113236SA (en) 2012-10-30 2021-12-30 Air Liquide Fluorocarbon molecules for high aspect ratio oxide etch
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9721758B2 (en) * 2015-07-13 2017-08-01 Mks Instruments, Inc. Unified RF power delivery single input, multiple output control for continuous and pulse mode operation
US10026592B2 (en) * 2016-07-01 2018-07-17 Lam Research Corporation Systems and methods for tailoring ion energy distribution function by odd harmonic mixing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009246091A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US20140118031A1 (en) * 2012-10-30 2014-05-01 Mks Instruments, Inc. RF Pulse Edge Shaping

Also Published As

Publication number Publication date
US20170084429A1 (en) 2017-03-23
US20160172216A1 (en) 2016-06-16
KR20160072786A (ko) 2016-06-23
CN105702550B (zh) 2018-08-17
CN105702550A (zh) 2016-06-22
US10755895B2 (en) 2020-08-25
TW201643956A (zh) 2016-12-16
CN109103064A (zh) 2018-12-28
KR20230129220A (ko) 2023-09-07
US9536749B2 (en) 2017-01-03
CN109103064B (zh) 2020-08-18

Similar Documents

Publication Publication Date Title
KR102575053B1 (ko) Rf 펄스 형상에 의한 이온 에너지 제어
JP7229232B2 (ja) 小さい角発散でピークイオンエネルギ増強を達成するためのシステムおよび方法
TWI716428B (zh) 用於反向脈衝的系統及方法
US10504744B1 (en) Three or more states for achieving high aspect ratio dielectric etch
KR20210021400A (ko) 제어된 에칭을 위한 단일 에너지 이온 생성
CN110474621B (zh) 状态期间的子脉冲
US20230005717A1 (en) Multi-state pulsing for achieving a balance between bow control and mask selectivity
US20220319856A1 (en) Etching isolation features and dense features within a substrate
JP7195810B2 (ja) イオンの方向性を増大させるためのマルチレジームプラズマウエハ処理
US20230230807A1 (en) Control of mask cd
US20240177968A1 (en) System and methods for implementing a micro pulsing scheme using dual independent pulsers
JP2024507722A (ja) 均一性を実現するためのデューティサイクル制御

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent
GRNT Written decision to grant