TW201832620A - 在電漿反應器中用於可調節工件偏壓之系統 - Google Patents

在電漿反應器中用於可調節工件偏壓之系統 Download PDF

Info

Publication number
TW201832620A
TW201832620A TW107103368A TW107103368A TW201832620A TW 201832620 A TW201832620 A TW 201832620A TW 107103368 A TW107103368 A TW 107103368A TW 107103368 A TW107103368 A TW 107103368A TW 201832620 A TW201832620 A TW 201832620A
Authority
TW
Taiwan
Prior art keywords
pulse
workpiece
voltage source
pulse voltage
source
Prior art date
Application number
TW107103368A
Other languages
English (en)
Inventor
特拉維斯 高
菲利浦亞倫 克勞司
雷歐尼德 朵夫
帕布 古柏羅吉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201832620A publication Critical patent/TW201832620A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32045Circuits specially adapted for controlling the glow discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

於此提供在電漿反應器中用於可調節工件偏壓的系統及方法。在一些實施例中,系統包含:一電漿腔室,該電漿腔室執行一工件上的電漿處理;一第一脈衝電壓來源,該第一脈衝電壓來源直接耦合至該工件;一第二脈衝電壓來源,該第二脈衝電壓來源電容性地耦合至該工件;及一偏壓控制器,該偏壓控制器基於該第一脈衝電壓來源及該第二脈衝電壓來源的一個或更多個參數來獨立地控制該第一脈衝電壓來源及該第二脈衝電壓來源,以便裁製被引導至該工件的離子通量的離子能量分佈。

Description

在電漿反應器中用於可調節工件偏壓之系統
本揭示案的實施例一般相關於在電漿反應器中用於可調節工件偏壓之系統。
通常針對化學及物理處理在蝕刻及化學氣相沉積(CVD)處理中將離子撞擊使用為活化能量的來源,以處理半導體工件,例如晶圓。現今,晶圓偏壓技術使用射頻(RF)偏壓技術。該等RF技術一般使用單一頻率RF偏壓以加速離子植入晶圓,而導致跨晶圓的離子能量相當知名的分佈。然而,在特定離子能量(eV)的離子密度總以已知數量分佈,且該分佈不是使用RF偏壓可調節的。藉由小心控制給定離子能量的離子量,現今蝕刻製程的最佳化是不可能的,且製程結果上不同離子能量的效應是未能詳細得知的。
因此,發明人提供使處理腔室可調節的系統,以便裁製離子能量以獨立地控制最大離子能量及低及中離子能量的分佈,或者,換言之,在電漿反應器中用於可調節工件偏壓之系統。
於此提供在電漿反應器中用於可調節工件偏壓的系統及方法。在一個實施例中,系統包含:一電漿腔室,該電漿腔室執行一工件上的電漿處理;一第一脈衝電壓來源,該第一脈衝電壓來源直接耦合至該工件;一第二脈衝電壓來源,該第二脈衝電壓來源電容性地耦合至該工件;及一偏壓控制器,該偏壓控制器基於該第一脈衝電壓來源及該第二脈衝電壓來源的一個或更多個參數來獨立地控制該第一脈衝電壓來源及該第二脈衝電壓來源,以便裁製被引導至該工件的離子通量的離子能量分佈。偏壓控制器可包括一個或更多個處理器及記憶體,其中該記憶體包括一組電腦指令,在由一個或更多個處理器執行時,該等指令引發該系統基於該第一脈衝電壓來源及該第二脈衝電壓來源的一個或更多個參數來獨立地控制該第一脈衝電壓來源及該第二脈衝電壓來源,以便裁製被引導至該工件的離子通量的離子能量分佈。
另一實施例提供在一電漿腔室中用於可調節工件偏壓的方法。該方法包含以下步驟:由一第一脈衝電壓來源產生一高電壓,且在一電漿腔室中將該高電壓耦合至一工件;由一第二脈衝電壓來源產生低及中電壓中的一個或更多個;將低及中電壓中的一個或更多個電容性地耦合至該工件;及由一偏壓控制器根據該第一脈衝電壓來源及該第二脈衝電壓來源的一個或更多個參數來發出該高電壓及低及中電壓中的一個或更多個,以裁製該工件中的離子分佈。
而在另一實施例中,用於可調節工件偏壓的系統包含:一電漿腔室,該電漿腔室執行一工件上的電漿處理;複數個第一脈衝電壓來源,該複數個第一脈衝電壓來源之每一者個別地耦合至一個或更多個插銷,該一個或更多個插銷與該電漿腔室的一基座電性地絕緣,該一個或更多個插銷在該電漿腔室中直接耦合至該工件;一第二脈衝電壓來源,該第二脈衝電壓來源電容性地耦合至該工件;及一偏壓控制器,該偏壓控制器基於該第一脈衝電壓來源及該第二脈衝電壓來源的一個或更多個參數來獨立地控制該第一脈衝電壓來源及該第二脈衝電壓來源,以便裁製被引導至該工件的離子通量的離子能量分佈。
下方描述本揭示案的其他及進一步的實施例。
於此提供在電漿反應器中用於可調節工件偏壓的方法及設備之實施例。在一些實施例中,在電漿反應器中用於可調節工件偏壓的系統包含:耦合至電漿反應器的高電壓脈衝DC來源(第一脈衝電壓來源)、經由線網格供應低及中電壓的第二脈衝電壓來源,該線網格嵌入於電漿反應器的靜電夾具中。藉由組合高電壓脈衝DC來源及用於低及中電壓的第二脈衝電壓來源,離子能量分佈可由偏移高電壓脈衝DC來源來控制,以產生具有自1,000至10,000 eV的範圍中的能量之離子群集,同時可調節較低離子能量以形成0至1,500 eV的範圍中的離子能量的尖峰或均勻帶。據此,在低及高能量範圍中裁製離子能量分佈以給予所需離子能量分佈。
第1圖為根據本揭示案的示範性實施例的區塊圖,圖示了用於可調節工件偏壓的系統100。
在多種實施例中,第1圖的系統100可包括電漿處理腔室(例如,可由加州聖塔克拉拉的應用材料公司取得的AVATAR®、ADVANTEDGETM MESATM 、SYM3®、DPS®、PRECISION®、及PRODUCER®TM 處理腔室或其他處理腔室)的部件。
系統100包括電漿腔室102、靜電夾具104、冷卻基座106、夾具網格108、脈衝DC來源120(或脈衝來源)、成形偏壓波形產生器130、偏壓控制器140、邊緣環150、及陽極160。根據示範性實施例,夾具網格108嵌入靜電夾具104中。靜電夾具104支撐工件,同時冷卻基座106支撐靜電夾具104。電漿腔室102進一步包括複數個插銷122,複數個插銷122設置於冷卻基座106上一個末端且延伸穿過靜電夾具104以與晶圓105在另一末端接觸。
電漿腔室102藉由將晶圓105曝露於電漿101來執行在工件(例如晶圓105)上的多種操作及製程。將晶圓105放置於電漿腔室102內部且將反應氣體導入腔室,氣體被電磁能量輻射點火且維持電漿101。
取決於電漿101所形成的氣體的成分,可施用電漿101以自晶圓105蝕刻特定薄膜,或可施用以沉積薄膜層至晶圓105上。電漿101一般具有高離子密度,使得可在晶圓上達成高蝕刻或沉積率,且亦使得需要較少時間來執行給定的蝕刻或沉積製程,因而增加生產量。
由偏壓控制器140來維持脈衝DC來源120及成形偏壓波形產生器130的獨立控制。在一些實施例中,偏壓控制器140為針對脈衝DC來源120及成形偏壓波形產生器130接收及設定參數的獨立電腦系統。
先前已將脈衝DC來源使用於針對處理晶圓的應用,例如離子植入。針對一些應用,例如半導體中的淺接面形成,使用電漿摻雜系統。在電漿摻雜系統中,將半導體晶圓放置於作用如同陰極的傳導性平台上。將含有所需摻雜物材料的可離子化氣體導入腔室,且在平台及陽極或腔室壁之間應用高電壓脈衝,建立晶圓附近的電漿鞘。所應用的電壓使電漿中的離子跨過電漿鞘且植入晶圓。在電漿摻雜系統中,來自脈衝DC來源的高電壓脈衝使來自電漿的正電離子加速朝向晶圓,且在電漿摻雜應用中,植入的深度相關於晶圓及陽極(或腔室壁)之間所應用的電壓。在美國第5,354,381號及美國第6,020,592號中進一步描述使用脈衝DC來源的電漿摻雜系統。
脈衝DC來源120經由插銷122及陽極160(或選擇地,腔室壁)直接耦合高電壓至工件,例如晶圓105。在實施例中,脈衝DC來源120以循環波形的形式來產生高電壓脈衝,例如,在第6圖中圖示的波形600,其中該波形的一個週期包括電壓非零的第一部分及電壓為零的第二部分。在示範性實施例中,第一部分期間的非零電壓處於自大約1至10 kV的範圍中。在實施例中,第一部分介於一週期期間的5%及95%之間;通常表示為循環波形的工作週期為5%及95%之間。在實施例中,循環波形的脈衝頻率介於100 Hz及100,000 Hz之間。
在實施例中,波形600的第一部分包括第一非零電壓及第二非零電壓。在實施例中,第一非零電壓及第二非零電壓在時間上為序列。在實施例中,非零電壓可為連續脈衝中的一個或多於一個值。在實施例中,非零電壓可為用於第一數量的週期的一個值及用於第二數量的週期的第二值。在實施例中,第一數量的週期及第二數量的週期為重複的。
根據第7圖中所展示的另一實施例,經由插銷122來進行脈衝DC來源至工件的直接接觸,但每一插銷與基座電絕緣且與每一其他插銷電絕緣。每一插銷122個別地連接至獨立的脈衝DC來源,例如,脈衝DC來源702-1、702-2、702-3及702-4(集體為脈衝DC來源702)。而在第8圖中所圖示的另一實施例中,與基座電絕緣的第一群組插銷804連接至第一脈衝DC來源802-1,且與基座電絕緣的第二群組插銷806連接至第二脈衝DC來源802-2(集體為脈衝DC來源802),使得第一及第二群組插銷沒有任何共用構件。一般而言,將插銷耦合至獨立脈衝DC來源允許整個工件的離子能量分佈的局部空間控制,而允許處理系統中針對其他非均勻性的調整。亦可針對來自先前製程而存在晶圓上的非均勻性來調整系統,或針對後續製程中預期的非均勻性而調整。在該等實施例中,脈衝DC來源至每一插銷的獨立控制補償了晶圓邊緣處的邊緣效應。
在第7圖及第8圖中所描述的實施例中,成形偏壓波形產生器130為可選的,且脈衝DC來源702及802藉由偏壓控制器140控制跨每一來源的電壓來提供裁製的離子分佈。可包含成形偏壓波形產生器130以增強整個工件的離子分佈的裁製,或用於工件的特定特徵中的離子植入。
成形偏壓波形產生器130直接耦合至夾具網格108,但在一些實施例中,成形偏壓波形產生器130可耦合至功率電極113。藉由應用波形偏壓(例如第6圖中所展示)至夾具網格108,跨夾具電容的電壓下降太小,使得在應用偏壓脈衝期間的任何時間在工件(例如,晶圓105)表面處可量測的電壓振幅實質接近該脈衝的電壓振幅(亦即,不會變化多於0至0.5%)。
夾具網格108電容性地耦合至晶圓105。成形偏壓波形產生器130供應脈衝電壓於示範性範圍0至1.5 kV(例如,低至中電壓)。在一些實施例中,成形偏壓波形產生器130經由夾具網格108提供恆常或連續電壓至晶圓105,同時在其他實施例中,成形偏壓波形產生器130經配置以提供電壓斜坡,允許寬帶離子能量分佈。在寬帶離子能量分佈中,離子在自第一離子能量至第二離子能量的連續範圍中獲得能量,其中第二離子能量大於第一離子能量。在實施例中,第一離子能量被定義為小於加速離子(導因於成形偏壓波形產生器130的耦合)的能量的95%的能量。在一些實施例中,第二離子能量被定義為大於加速離子(導因於成形偏壓波形產生器130的耦合)的能量的95%的能量。根據一個實施例,第一離子能量為10 eV且第二離子能量為3000 eV。
在實施例中,來自脈衝DC來源120的高電壓循環波形可自100 Hz及100,000 Hz在脈衝頻率中變化,同時根據一些實施例,成形偏壓波形產生器130發出400 kHz的脈衝。在一些實施例中,在來自脈衝DC來源120的DC電壓為零時,自成形偏壓波形產生器130發射的脈衝在DC脈衝週期的第二部分期間發生。
偏壓控制器140藉由自脈衝DC來源120在DC脈衝週期的第一部分期間自1 kV至10 kV之任一處設定非零電壓來控制離子能量分佈,同時可調節較低及中度離子能量以形成範圍於0 kV至1.5 kV的能量尖峰或帶。因此,可裁製離子能量分佈於低、中、及高電壓範圍以給予所需分佈。例如,在需要離子到達晶圓105中高的長寬比特徵之底部的實施例中,該離子能量分佈控制為優勢的。一個該應用為3D-NAND記憶體孔洞蝕刻,其中長寬比常規上大於30:1。
在脈衝DC週期的第二部分期間,偏壓控制器140經由例如電壓探針(或一些其他等效實作)評估來自晶圓105的接收電壓,且如若電壓從先前讀數改變及/或未處於事先決定的電壓位準的容忍度內,偏壓控制器140決定欲通訊至成形偏壓波形產生器130的控制訊號,以調整成形偏壓波形產生器130所提供至夾具網格108的電壓以引發晶圓105的電壓保持恆常及/或處於事先決定的電壓位準的容忍度內。
在一個實施例中,偏壓控制器140實作迭代製程以決定通訊至成形偏壓波形產生器130的控制訊號。例如,在一個實施例中,在決定所接收電壓需要調整之後,偏壓控制器140通訊一訊號至成形偏壓波形產生器130,以引發成形偏壓波形產生器130所供應至夾具網格108的電壓中的調整。在該調整之後,再次藉由偏壓控制器140來評估晶圓105的電壓。如若晶圓105所擷取的電壓變得更恆常或靠近事先決定的電壓位準的容忍度,但仍需要更多調整,偏壓控制器140通訊另一控制訊號至成形偏壓波形產生器130,以引發對成形偏壓波形產生器130所供應至夾具網格108的電壓在相同方向上的調整。如若在調整之後,基板所擷取的電壓變得更不恆常或遠離事先決定的電壓位準,偏壓控制器140通訊另一控制訊號至成形偏壓波形產生器130,以引發對成形偏壓波形產生器130所供應至夾具網格108的電壓在相反方向上的調整。該等調整可持續進行直至基板的電壓保持恆常及/或處於事先決定的電壓位準的容忍度內。在一個實施例中,偏壓控制器140將來自晶圓105的讀數的電壓訊號數位化,且通訊該數位化電壓訊號至偏壓電源以週期性地調整成形脈衝偏壓波形,使得晶圓(基板)電壓保持恆常及/或處於事先決定的電壓位準的容忍度內。
在其他實施例中,根據本原理,可使用可選的邊緣環150來擷取代表欲處理工件(例如,晶圓105)之電壓的訊號。例如,在一個實施例中且參考回到第3圖,使用邊緣環150以感測代表欲處理基板電壓的電壓量測。在一個實施例中,根據本原理,根據成形偏壓波形產生器130直接耦合至功率電極而非夾具網格108的實施例,邊緣環150直接位於夾具網格108下方且大到足以與成形偏壓波形產生器130可耦合至的任何功率電極的邊緣重疊。因為邊緣環150的組成及位置,邊緣環150電性地耦合至欲處理工件,以便感測欲處理工件的電壓,該電壓處於例如工件處真實電壓的5%至7%內。
來自成形偏壓波形產生器130的低及中能量離子補充來自脈衝DC來緣120的高能量尖峰且刺激其他有利屬性。例如,低及中能量離子幫助聚合物側壁沉積,而導致減低深度蝕刻中的弓形且改良遮罩選擇性。
第2圖為根據本揭示案的示範性實施例的偏壓控制器140的區塊圖。
可藉由偏壓控制器140來執行用於裁製離子分佈的方法及設備的多種實施例。根據一個實施例,偏壓控制器140包括一個或更多個CPU 1至N、支援電路204、I/O電路206、及系統記憶體208。系統記憶體208可進一步包括調節參數210及偏壓程式220。操作CPU 1至N以執行常駐系統記憶體208中的一個或更多個應用程式。可使用偏壓控制器140以實作上述實施例的任何其他系統、裝置、元件、功能性或方法。在圖示的實施例中,偏壓控制器140可經配置以將方法400(第4圖)實作為處理器可執行的可執行程式指令。偏壓程式220控制脈衝DC來源120及成形偏壓波形產生器130兩者的操作以用於整個晶圓105的裁製的離子分佈。
在不同實施例中,偏壓控制器140可為任何多種類型的裝置,包含但不限於:個人電腦系統、桌上型電腦、筆記型電腦、記事本、或上網本(netbook)電腦、主机電腦系統、手持式電腦、工作站、網路電腦、行動裝置例如智慧型手機或PDA、消費者裝置、或一般任何類型的計算或電子裝置。
在多種實施例中,偏壓控制器140可為包含一個處理器的單處理器系統,或包含數個處理器的多處理器系統(例如,兩個、四個、八個、或其他合適數量)。CPU 1至N可為能夠執行指令的任何合適的處理器。例如,在多種實施例中,CPU 1至N可為實作任何多樣的指令集架構(ISA)的一般目的或嵌入式處理器。在多處理器系統中,CPU 1至N之每一者可通常(但非必要)實作相同的ISA。
系統記憶體208可經配置以儲存CPU 1至N可存取的程式指令及/或資料。在多種實施例中,可使用任何合適的記憶體技術來實作系統記憶體208,例如靜態隨機存取記憶體(SRAM)、同步動態RAM(SDRAM)、非揮發性/快閃類型記憶體、或任何其他類型的記憶體。在圖示的實施例中,可將程式指令及實作上述實施例的任何元件的資料儲存於系統記憶體208內。在其他實施例中,在不同類型的電腦可存取媒體或相似媒體與系統記憶體208或偏壓控制器140分開之後,可接收、傳送、或儲存程式指令及/或資料。
在一個實施例中,I/O電路206可經配置以協調CPU 1至N、系統記憶體208、及裝置中的任何周邊裝置(包含網路介面或其他周邊介面,例如輸入/輸出裝置)之間的I/O交流。在一些實施例中,I/O電路206可執行任何必要協定、時序章或其他資料變換以自一個部件(例如,系統記憶體208)將資料訊號轉換成適合另一部件(例如,CPU 1至N)使用的格式。在一些實施例中,I/O電路206可包含針對經由多種類型的周邊匯流排接合的裝置的支援,例如,如周邊部件內部連接(PCI)匯流排標準或通用系列匯流排(USB)標準之變體。在一些實施例中,I/O電路206的功能可切割成兩個或更多個分開的部件,例如,如北橋及南橋。在一些實施例中,I/O電路206的一些或所有功能性(例如,對系統記憶體208的介面)亦可直接併入CPU 1至N。
網路介面可經配置以允許資料在偏壓控制器140及接合至網路的其他裝置之間交換,例如,一個或更多個顯示器裝置(未展示)、或一個或更多個外部系統或節點之間。在多種實施例中,網路可包含一個或更多個網路,包含但不限於:區域網路(LAN)(例如,乙太或企業網路)、廣域網路(WAN)(例如,網際網路)、無線資料網路、一些其他電子資料網路、或上述網路的一些組合。在多種實施例中,網路介面可經由有線或無線一般資料網路來支援通訊,例如任何合適類型的乙太網路,例如:經由電信/電話網路,例如類比聲音網路或數位光纖通訊網路;經由儲存區域網路,例如光纖通道SAN,或經由任何其他合適類型的網路及/或協定。
在一些實施例中,輸入/輸出裝置可包含一個或更多個顯示器終端、鍵盤、按鍵、觸碰板、掃描裝置、聲音或光學辨識裝置、或適於藉由一個或更多個偏壓控制器140進入或存取資料的任何其他裝置。多個輸入/輸出裝置可出現或可分佈於偏壓控制器140的多種節點上。在一些實施例中,相似的輸入/輸出裝置可與偏壓控制器140分開,且可經由有線或無線連接與偏壓控制器140的一個或更多個節點互動,例如在網路介面上。
在一些實施例中,圖示的電腦系統可實作上述任何方法,例如第4圖的流程圖所圖示的方法。在其他實施例中,可包含不同元件及資料。
發明所屬領域具有通常知識者將理解:偏壓控制器140僅為圖示性且不意圖限制實施例之範圍。特定地,電腦系統及裝置可包含可執行多種實施例的指示功能的硬體或軟體的任何組合,包含電腦、網路裝置、網際網路應用、PDA、無線電話、呼叫器,諸如此類。偏壓控制器140亦可連接至其他未圖示的裝置,甚或可操作為單機系統。此外,在一些實施例中,可以較少部件或在額外部件中分佈來組合圖示的部件所提供的功能性。相似地,在一些實施例中,可不提供一些圖示部件的功能性及/或可取得其他額外功能性。
發明所屬領域具有通常知識者亦將理解:在將多種項目圖示成儲存於記憶體中或使用時處於儲存狀態時,該等項目或該等項目的部分可在記憶體及其他儲存裝置之間傳輸以用於記憶體管理及資料整體性的目的。替代地,在其他實施例中,一些或全部的軟體部件可在記憶體中執行於其他裝置上,且與圖示的電腦系統經由電腦間通訊來通訊。一些或全部的系統部件或資料結構亦可儲存(例如,以指令或結構化資料的形式)於電腦可存取媒體或可攜式物件上以供適合驅動器讀取,上方已描述多種範例。在一些實施例中,儲存於電腦可存取媒體上的指令與偏壓控制器140分開,該等指令可經由傳輸媒體或訊號(例如電性、電磁、或數位訊號)經由通訊媒體(例如網路及/或無線鏈結)傳達來傳輸至偏壓控制器140。多種實施例可進一步包含根據前述實作於電腦可存取媒體上或經由通訊媒體的接收、傳送、或儲存指令及/或資料。一般而言,電腦可存取媒體可包含儲存媒體或記憶體媒體例如磁性或光學媒體,例如光碟或DVD/CD-ROM、揮發性或非揮發性媒體例如RAM(例如,SDRAM、DDR、RDRAM、SRAM,諸如此類)、ROM,諸如此類。
第3圖為根據本揭示案的示範性實施例的第1圖的設備的離子能量分佈函數(如圖300中所展示)的一個實例。
圖300圖示第一曲線302及第二曲線304。第一曲線302圖示離子在不同的低及中離子能量的分佈,由成形偏壓波形產生器130所引發。第二曲線304圖示在高離子能量的離子分佈,展示了來自脈衝DC來源120的高能量尖峰。儘管來自上方論述的成形偏壓波形產生器的分佈約略為正方形,使用本揭示案中所述可調節偏壓的其他分佈是可能的,因為成形偏壓波形產生器係獨立於出自脈衝DC來源120的高離子能量尖峰來控制。
例如,可設置第一曲線302的低端朝向較低離子能量,例如,大約100 V,同時設置中端朝向較高離子能量,例如,大約800 V。在額外實施例中,可設置第一曲線302的低端朝向較低離子能量,例如,大約200 V,同時設置中端朝向較高離子能量,例如,大約1000 V。而在另一實施例中,可設置第一曲線302的低端朝向較低離子能量,例如,大約20 V,同時設置中端朝向較高離子能量,例如,大約500 V。
第4圖為根據本揭示案的示範性實施例的流程圖,代表在電漿腔室中跨半導體工件裁製離子分佈的方法400。
偏壓控制器140為根據本揭示案的示範性實施例的方法400的示範性實作。
在402處,偏壓控制器開始方法400。在404處,偏壓控制器控制脈衝DC來源120以產生高電壓及在電漿腔室中耦合該高電壓至晶圓,例如,電漿腔室102中的晶圓105。
在406處,偏壓控制器控制成形偏壓波形產生器130以產生低及中電壓。在408處,該等低及中電壓電容性地耦合至晶圓。
接著該方法進行到410,其中偏壓控制器140替代地發出高電壓及中及低電壓,以裁製被引導朝向工件的離子通量的離子能量分佈,使得高能量離子可取得以到達工件中高的長寬比特徵之底部。
方法400在412結束。
第5圖根據本揭示案的示範性實施例圖示由成形偏壓波形產生器130所發射且耦合至電漿腔室的成形脈衝偏壓波形。
成形偏壓波形產生器130將第5圖中所展示的脈衝波形耦合至系統100的夾具網格108。
為了使成形脈衝偏壓如所意圖的作用,現今數個電容值必須為已知或評估。特定地,成形脈衝偏壓波形(第5圖)需要將供應至夾具網格108的全部電壓在靜電夾具104及鞘電荷間分割,該鞘電荷形成電漿及靜電夾具支撐表面或設置於其上的工件之間的空間(稱為「空間電荷鞘」或「鞘」)。儘管可明顯查明靜電夾具電容CCK ,發現到托盤電容(C­STR )及鞘電容(CSH )相對於時間不可預測地變化。例如,托盤電容(C­STR )由電漿處理腔室內的條件來決定,據此,對該等因素(例如,處理腔室部件的熱膨脹,諸如此類)為敏感的。
功能上,靜電夾具及鞘作用如同兩個電容器串聯,且因為控制了應用至靜電夾具電容器的其中一個電極的輸入電壓波形,為了決定全部應用的電壓如何在電容器之間分割及有多少電壓將處於鞘上,兩個電容值必須為已知。
可在不同實施例中以軟體、硬體、或其組合來實作於此描述的方法。此外,可改變方法的順序,且可增加、重新排序、組合、省略甚或修改多種元件。以非限定方式呈現於此描述的所有範例。可進行多種修改及改變,對具有本揭示案利益的發明所屬領域具有通常知識者而言為明顯的。在特定實施例的內容中描述根據實施例的實現。該等實施例為了圖示而非限制。本揭示案的範圍內的許多變化、修改、增加、及改良為可能的。據此,可針對於此描述的部件提供複數個實例為單一實例。最終,可將在範例配置中呈現為分離部件的結構及功能性實作為組合的結構或部件。該等及其他變化、修改、增加、及改良可落於實施例的範圍內,如以下請求項中所定義。
前述係本揭示案的實施例,可修改本揭示案的其他及進一步的實施例而不遠離其基本範畴。
100‧‧‧系統
101‧‧‧電漿
102‧‧‧電漿腔室
104‧‧‧靜電夾具
105‧‧‧晶圓
106‧‧‧冷卻基座
108‧‧‧夾具網格
120‧‧‧脈衝DC來源
122‧‧‧插銷
130‧‧‧成形偏壓波形產生器
140‧‧‧偏壓控制器
150‧‧‧邊緣環
160‧‧‧陽極
204‧‧‧支援電路
206‧‧‧I/O電路
208‧‧‧系統記憶體
210‧‧‧調節參數
220‧‧‧偏壓程式
300‧‧‧圖
302‧‧‧第一曲線
304‧‧‧第二曲線
400‧‧‧方法
402‧‧‧步驟
404‧‧‧步驟
406‧‧‧步驟
408‧‧‧步驟
410‧‧‧步驟
412‧‧‧步驟
600‧‧‧波形
702-1‧‧‧脈衝DC來源
702-2‧‧‧脈衝DC來源
702-3‧‧‧脈衝DC來源
702-4‧‧‧脈衝DC來源
802-1‧‧‧脈衝DC來源
802-2‧‧‧脈衝DC來源
804‧‧‧插銷
806‧‧‧插銷
可藉由參考描繪於所附圖式中的本揭示案的圖示性實施例來理解本揭示案的實施例(簡短總結如上且將在下方更詳細論述)。然而,所附圖式僅圖示本揭示案典型的實施例,因此不考慮限制其範圍,因為本揭示案可允許其他等效實施例。
第1圖為根據本揭示案的示範性實施例的區塊圖,圖示了用於可調節工件偏壓的系統;
第2圖為根據本揭示案的示範性實施例的偏壓控制器的區塊圖;
第3圖為根據本揭示案的示範性實施例的離子分佈曲線的一個實例,該曲線由第1圖的設備所產生;
第4圖為根據本揭示案的示範性實施例的流程圖,代表在電漿腔室中跨半導體工件裁製離子分佈的方法;
第5圖圖示根據本揭示案的示範性實施例由成形偏壓波形產生器所發射且耦合至電漿腔室的成形脈衝偏壓波形;
第6圖圖示根據本揭示案的示範性實施例由脈衝DC來源所發射的循環波形;
第7圖為根據本揭示案的示範性實施例的用於可調節工件偏壓的系統的另一實施例的區塊圖;及
第8圖為根據本揭示案的示範性實施例的用於可調節工件偏壓的系統的另一實施例的區塊圖。
為了便於理解,儘可能使用相同元件符號,以標示圖式中常見的相同元件。圖式不依尺寸繪製且可針對清晰而簡化。一個實施例的元件及特徵可有利地併入其他實施例,而無須進一步敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種用於可調節工件偏壓的系統,包括: 一電漿腔室,該電漿腔室執行一工件上的電漿處理;一第一脈衝電壓來源,該第一脈衝電壓來源在該電漿腔室中直接耦合至該工件;一第二脈衝電壓來源,該第二脈衝電壓來源電容性地耦合至該工件;及一偏壓控制器,該偏壓控制器基於該第一脈衝電壓來源及該第二脈衝電壓來源的一個或更多個參數來獨立地控制該第一脈衝電壓來源及該第二脈衝電壓來源,以便裁製被引導至該工件的離子通量的離子能量分佈。
  2. 如請求項1所述之系統,其中該第二脈衝電壓來源輸出一成形偏壓波形。
  3. 如請求項1所述之系統,其中該偏壓控制器交替地發出該第一脈衝電壓來源及該第二脈衝電壓來源。
  4. 如請求項1所述之系統,其中該第一脈衝電壓來源以高電壓供應一第一脈衝,其中在一第一脈衝期間該高電壓的最大值大約在1至10 kV的範圍中。
  5. 如請求項4所述之系統,其中該第二脈衝電壓來源供應一第二脈衝,包括低至中電壓的一連續範圍中的一個或更多個電壓,其中該範圍在大約0至大約1.5 kV中。
  6. 如請求項1至5之任一項所述之系統,其中該電漿腔室進一步包括: 一靜電夾具,該靜電夾具支撐該工件;一基座,該基座支撐該靜電夾具;複數個插銷,每一插銷在一個末端直接耦合至該基座,且經配置以在另一末端直接耦合至該工件;及一夾具網格,該夾具網格嵌入該靜電夾具內。
  7. 如請求項6所述之系統,其中該第一脈衝電壓來源耦合至該基座,且供應自該第一脈衝電壓來源的電壓經由該複數個插銷直接耦合至該工件。
  8. 如請求項7所述之系統,其中該第二脈衝電壓來源直接耦合至該夾具網格,且該夾具網格經配置以電容性地耦合至該工件。
  9. 如請求項8所述之系統,其中該偏壓控制器經配置以基於在該工件處所感測的電壓來調整該一個或更多個參數以修改由該第二脈衝電壓來源所發射的一波形。
  10. 如請求項1至5之任一項所述之系統,其中該第一脈衝電壓來源以大約100至100,000 Hz的一脈衝頻率來發射高電壓脈衝。
  11. 如請求項10所述之系統,其中該第二脈衝電壓來源以大約400 kHz的一脈衝頻率來發射脈衝。
  12. 一種在一電漿腔室中用於可調節工件偏壓的方法,包括以下步驟: 由一第一脈衝電壓來源產生一高電壓,且在一電漿腔室中將該高電壓耦合至一工件;由一第二脈衝電壓來源產生一個或更多個低及中電壓;將該一個或更多個低及中電壓電容性地耦合至該工件;及由一偏壓控制器根據該第一脈衝電壓來源及該第二脈衝電壓來源的一個或更多個參數來發出該高電壓及該一個或更多個低及中電壓,以裁製該工件中的離子分佈。
  13. 如請求項12所述之方法,其中該偏壓控制器接受一偏壓波形為用於該第二脈衝電壓來源的輸入。
  14. 如請求項12所述之方法,其中該第一脈衝電壓來源供應一高電壓,在一脈衝期間該高電壓的最大值大約為1至10 kV,且其中該第二脈衝電壓來源供應在低至中電壓的一連續範圍中的一個或更多個電壓,其中該範圍為大約0至大約1.5 kV。
  15. 如請求項14所述之方法,其中該第一脈衝電壓來源以大約100至100,000 Hz的一脈衝頻率來發射高電壓脈衝,且該第二脈衝電壓來源以大約400 kHz來發射脈衝。
  16. 如請求項12至15之任一項所述之方法,其中該電漿腔室進一步包括: 一靜電夾具,該靜電夾具支撐該工件;一基座,該基座支撐該靜電夾具;複數個插銷,每一插銷在一個末端直接耦合至該基座,且在另一末端直接耦合至該工件;及一夾具網格,該夾具網格嵌入該靜電夾具內。
  17. 如請求項16所述之方法,其中該第一脈衝電壓來源耦合至該基座,且供應自該第一脈衝電壓來源的電壓經由該複數個插銷直接耦合至該工件,且其中該第二脈衝電壓來源直接耦合至該夾具網格,且該夾具網格電容性地耦合至該工件。
  18. 如請求項17所述之方法,其中該偏壓控制器基於在該工件處所感測的電壓來調整該一個或更多個參數以修改由該第二脈衝電壓來源所發射的一波形。
  19. 一種用於可調節工件偏壓的系統,包括: 一電漿腔室,該電漿腔室執行一工件上的電漿處理;複數個第一脈衝電壓來源,該複數個第一脈衝電壓來源之每一者個別地耦合至一個或更多個插銷,該一個或更多個插銷與該電漿腔室的一基座電性地絕緣,該一個或更多個插銷經配置以在該電漿腔室中直接耦合至該工件;一第二脈衝電壓來源,該第二脈衝電壓來源電容性地耦合至該工件;及一偏壓控制器,該偏壓控制器基於該第一脈衝電壓來源及該第二脈衝電壓來源的一個或更多個參數來獨立地控制該第一脈衝電壓來源及該第二脈衝電壓來源,以便裁製被引導至該工件的離子通量的離子能量分佈。
  20. 如請求項19所述之系統,其中該複數個第一脈衝電壓來源供應複數個高電壓脈衝,在一脈衝期間該高電壓的最大值大約為1至10 kV,且其中該第二脈衝電壓來源供應在低至中電壓的一連續範圍中的一個或更多個電壓,其中該範圍為大約0至大約1.5 kV。
TW107103368A 2017-02-03 2018-01-31 在電漿反應器中用於可調節工件偏壓之系統 TW201832620A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/424,405 2017-02-03
US15/424,405 US10373804B2 (en) 2017-02-03 2017-02-03 System for tunable workpiece biasing in a plasma reactor

Publications (1)

Publication Number Publication Date
TW201832620A true TW201832620A (zh) 2018-09-01

Family

ID=63037325

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107103368A TW201832620A (zh) 2017-02-03 2018-01-31 在電漿反應器中用於可調節工件偏壓之系統

Country Status (6)

Country Link
US (3) US10373804B2 (zh)
JP (1) JP2020507678A (zh)
KR (1) KR20190105243A (zh)
CN (1) CN110249407A (zh)
TW (1) TW201832620A (zh)
WO (1) WO2018144374A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11955314B2 (en) 2019-01-09 2024-04-09 Tokyo Electron Limited Plasma processing apparatus

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10903047B2 (en) 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11824454B2 (en) * 2016-06-21 2023-11-21 Eagle Harbor Technologies, Inc. Wafer biasing in a plasma chamber
US10373804B2 (en) * 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
CN115378264A (zh) 2017-02-07 2022-11-22 鹰港科技有限公司 变压器谐振转换器
JP6902167B2 (ja) 2017-08-25 2021-07-14 イーグル ハーバー テクノロジーズ, インク.Eagle Harbor Technologies, Inc. ナノ秒パルスを使用する任意波形の発生
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10607814B2 (en) 2018-08-10 2020-03-31 Eagle Harbor Technologies, Inc. High voltage switch with isolated power
WO2020033931A1 (en) 2018-08-10 2020-02-13 Eagle Harbor Technologies, Inc. Plasma sheath control for rf plasma reactors
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
US10703654B2 (en) * 2018-11-07 2020-07-07 Pear Labs Llc Non-thermal multiple plasma gate devices
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10796887B2 (en) 2019-01-08 2020-10-06 Eagle Harbor Technologies, Inc. Efficient nanosecond pulser with source and sink capability for plasma control applications
KR20200086826A (ko) * 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11488796B2 (en) * 2019-04-24 2022-11-01 Applied Materials, Inc. Thermal break for high-frequency antennae
NL2022999B1 (en) * 2019-04-24 2020-11-02 Prodrive Tech Bv Voltage waveform generator for plasma processing apparatuses
KR20220031713A (ko) 2019-07-12 2022-03-11 에이이에스 글로벌 홀딩스 피티이 리미티드 단일 제어식 스위치를 갖는 바이어스 공급부
CN112466735A (zh) * 2019-09-09 2021-03-09 东京毅力科创株式会社 基片支承器和等离子体处理装置
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
US11527383B2 (en) 2019-12-24 2022-12-13 Eagle Harbor Technologies, Inc. Nanosecond pulser RF isolation for plasma systems
CN110936596A (zh) * 2019-12-27 2020-03-31 河南先途智能科技有限公司 低温等离子技术处理鞋材表面的工艺方法
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US20230132339A1 (en) * 2021-10-21 2023-04-27 Applied Materials, Inc. Plasma processing chambers configured for tunable substrate and edge sheath control
US11664195B1 (en) 2021-11-11 2023-05-30 Velvetch Llc DC plasma control for electron enhanced material processing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN115159865B (zh) * 2022-07-26 2023-05-09 艾瑞森表面技术(苏州)股份有限公司 一种防眩光的表面处理方法
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4013271B2 (ja) * 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
JP2004531880A (ja) * 2001-03-13 2004-10-14 アプライド マテリアルズ インコーポレイテッド 二重電極を有する基板の支持体
US6597117B2 (en) * 2001-11-30 2003-07-22 Samsung Austin Semiconductor, L.P. Plasma coil
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
JP4753306B2 (ja) * 2006-03-29 2011-08-24 東京エレクトロン株式会社 プラズマ処理装置
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
JP5224837B2 (ja) * 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP2012044045A (ja) * 2010-08-20 2012-03-01 Toshiba Corp 制御装置、プラズマ処理装置、及び制御方法
US8232193B2 (en) * 2010-07-08 2012-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming Cu pillar capped by barrier layer
KR20120022251A (ko) * 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
JP6212363B2 (ja) * 2012-11-19 2017-10-11 太陽誘電ケミカルテクノロジー株式会社 構造体及びその製造方法
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
JP5701958B2 (ja) * 2013-10-15 2015-04-15 東京エレクトロン株式会社 基板処理装置
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
CN106343984A (zh) * 2015-07-17 2017-01-25 松下知识产权经营株式会社 注意信息提示装置和注意信息提示方法
WO2017087410A2 (en) * 2015-11-16 2017-05-26 Tokyo Electron Limited Etching method for a structure pattern layer having a first material and second material
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US10373804B2 (en) * 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11955314B2 (en) 2019-01-09 2024-04-09 Tokyo Electron Limited Plasma processing apparatus

Also Published As

Publication number Publication date
KR20190105243A (ko) 2019-09-16
US20210134561A1 (en) 2021-05-06
CN110249407A (zh) 2019-09-17
WO2018144374A1 (en) 2018-08-09
US10923320B2 (en) 2021-02-16
US10373804B2 (en) 2019-08-06
US20190348258A1 (en) 2019-11-14
JP2020507678A (ja) 2020-03-12
US20180226225A1 (en) 2018-08-09

Similar Documents

Publication Publication Date Title
TW201832620A (zh) 在電漿反應器中用於可調節工件偏壓之系統
JP7432781B2 (ja) プラズマ処理源および基板バイアスの同期パルス化
TWI771925B (zh) 用於在電漿處理期間控制在基板的電壓波形的系統與方法
CN106920729B (zh) 一种均匀刻蚀基片的等离子体处理装置及方法
US8974684B2 (en) Synchronous embedded radio frequency pulsing for plasma etching
JP4408569B2 (ja) プラズマ処理室におけるウェハバイアス補償方法及び装置
US9039913B2 (en) Semiconductor device manufacturing method
JP7500718B2 (ja) 基板の処理方法及び装置
JP2020061546A (ja) プラズマ処理装置及び制御方法
JP2013165254A (ja) プラズマドーピング装置、プラズマドーピング方法、半導体素子の製造方法、および半導体素子
US20210296091A1 (en) Inspection method, inspection apparatus, and plasma processing apparatus
TW201911363A (zh) 偏壓調製方法、偏壓調製系統和電漿處理裝置
US20190348260A1 (en) Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation
TW202329190A (zh) 用於處理基板的方法與設備
KR20240073030A (ko) 유도 커플링 플라즈마 (inductively coupled plasma) 에서 이온 에너지를 향상시키고 이온 에너지 확산을 감소시키기 위한 방법 및 장치
CN109767967A (zh) 基板处理方法和基板处理装置