CN110010463B - 一种用于半导体器件制造的等离子体处理系统 - Google Patents

一种用于半导体器件制造的等离子体处理系统 Download PDF

Info

Publication number
CN110010463B
CN110010463B CN201811515594.4A CN201811515594A CN110010463B CN 110010463 B CN110010463 B CN 110010463B CN 201811515594 A CN201811515594 A CN 201811515594A CN 110010463 B CN110010463 B CN 110010463B
Authority
CN
China
Prior art keywords
plasma
process gas
substrate
gas composition
period
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811515594.4A
Other languages
English (en)
Other versions
CN110010463A (zh
Inventor
谭忠魁
傅乾
吴英
许晴
向华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201811515594.4A priority Critical patent/CN110010463B/zh
Publication of CN110010463A publication Critical patent/CN110010463A/zh
Application granted granted Critical
Publication of CN110010463B publication Critical patent/CN110010463B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

本发明涉及用双峰工艺气体组合物进行等离子体蚀刻的方法和系统。将衬底设置在处理模块内的衬底支架上。所述衬底包括覆盖靶材料的掩模材料,其中所述靶材料中的至少一个部分通过所述掩模材料中的开口暴露。供给双峰工艺气体组合物到在所述衬底上的等离子体产生区域。在第一时间段,施加第一射频功率到所述双峰工艺气体组合物以产生造成在所述衬底上的蚀刻为主的效果的等离子体。在所述第一时间段结束后的第二时间段,施加第二射频功率到所述双峰工艺气体组合物以产生造成在所述衬底上的沉积为主的效果的等离子体。在用以去除暴露的所要求量的所述靶材料的总的时间段,以交替和连续的方式施加所述第一射频功率和所述第二射频功率。

Description

一种用于半导体器件制造的等离子体处理系统
本申请是申请号为201610719175.7、申请日为2016年8月25日、发明名称为“用双峰工艺气体组合物进行等离子体蚀刻的方法和系统”的申请的分案申请。
技术领域
本发明涉及半导体器件制造。
背景技术
许多现代的半导体芯片制造工艺包括产生等离子体,离子和/或自由基成分源于该等离子体,以用于直接或间接地影响暴露于等离子体的衬底的表面上的变化。例如,各种基于等离子体的工艺可用于从衬底表面蚀刻材料、沉积材料到衬底表面上、或修改已经存在于衬底表面上的材料。等离子体通常通过在受控环境中施加射频(RF)功率至工艺气体来产生,使得该工艺气体被激励并转换成所需要的等离子体。等离子体的特性受许多工艺参数的影响,这些工艺参数包括但不限于,工艺气体的材料组成、工艺气体的流率、等离子体产生区域和周围结构的几何特征、工艺气体和周围材料的温度、所施加的RF功率的频率和幅值、和被施加以将等离子体的带电成分朝向衬底吸引的偏置电压等等。理解并控制可能影响所产生的等离子体如何与衬底相互作用的工艺参数中的一些,这是有意义的。就是这样的背景下,产生本发明。
发明内容
在一示例性的实施方式中,公开了一种用于在半导体制造中对靶材料进行等离子体蚀刻的方法。该方法包括用于将衬底设置在处理模块内的衬底支架上的操作(a)。所述衬底包括覆盖靶材料的掩模材料,其中所述靶材料中的至少一个部分通过所述掩模材料中的开口暴露。该方法还包括供给双峰工艺气体组合物(a bi-modal process gascomposition)到在所述衬底上的等离子体产生区域的操作(b)。该方法还包括操作(c),其中,在第一时间段,施加第一射频功率到所述等离子体产生区域内的所述双峰工艺气体组合物以产生暴露于所述衬底的等离子体。通过施加所述第一射频功率产生的所述等离子体造成在所述衬底上的蚀刻为主的效果。该方法还包括操作(d),其中,在所述第一时间段结束后的第二时间段,施加第二射频功率到所述等离子体产生区域内的所述双峰工艺气体组合物以产生暴露于所述衬底的等离子体。施加所述第二射频功率而不是所述第一射频功率。通过施加所述第二射频功率而产生的等离子体造成在所述衬底上的沉积为主的效果。该方法还包括用于以交替和连续的方式重复操作(c)和(d)持续去除暴露在所述衬底上的所要求量的所述靶材料所必需的总的时间段的操作(e)。
在一示例性的实施方式中,公开了一种用于在半导体制造中对靶材料进行等离子体蚀刻的方法。该方法包括用于将衬底设置在处理模块内的衬底支架上的操作(a)。所述衬底包括覆盖靶材料的掩模材料,其中所述靶材料中的至少一个部分通过所述掩模材料中的开口暴露。该方法还包括供给双峰工艺气体组合物到在所述衬底上的等离子体产生区域的操作(b)。该方法还包括操作(c),其中,在第一时间段,施加第一射频功率到所述等离子体产生区域内的所述双峰工艺气体组合物以产生暴露于所述衬底的等离子体。通过施加所述第一射频功率产生的所述等离子体造成在所述衬底上的蚀刻为主的效果。另外,在操作(c)期间,在对应于高偏置电压电平的第一偏置电压设置下在所述衬底支架处施加偏置电压。该方法还包括操作(d),其中,在所述第一时间段结束后的第二时间段,施加第二射频功率到所述等离子体产生区域内的所述双峰工艺气体组合物以产生暴露于所述衬底的等离子体。施加所述第二射频功率而不是所述第一射频功率。通过施加所述第二射频功率而产生的等离子体造成在所述衬底上的沉积为主的效果。另外,在操作(d)期间,将在所述衬底支架处的所述偏置电压降低到对应于低偏置电压电平的第二偏置电压设置。该方法还包括用于以交替和连续的方式重复操作(c)和(d)持续去除暴露在所述衬底上的所要求量的所述靶材料所必需的总的时间段的操作(e)。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于半导体器件制造的等离子体处理系统,其包括:
衬底支架,其位于处理室内,所述衬底支架包括衬底支撑表面,所述衬底支撑表面构造成保持衬底暴露于所述处理室内的等离子体产生区域,所述等离子体产生区域构造成接收双峰工艺气体组合物;
工艺气体供应源,所述工艺气体供应源包括所述双峰工艺气体组合物,所述工艺气体供应源被连接以将所述双峰工艺气体组合物输送到所述处理室内的所述等离子体产生区域,所述双峰工艺气体组合物包括蚀刻剂物质和沉积物质,所述蚀刻剂物质被配置成当所述双峰工艺气体组合物暴露于第一射频功率时在衬底上提供蚀刻为主的效果,所述沉积物质被配置成当所述双峰工艺气体组合物暴露于第二射频功率时在所述衬底上提供沉积为主的效果;和
射频电源,所述射频电源被配置为在第一时间段施加所述第一射频功率到存在于所述等离子体产生区域内的所述双峰工艺气体组合物,以在所述等离子体产生区域内产生造成在所述衬底上的蚀刻为主的效果的等离子体,
所述射频电源被配置为在所述第一时间段完成后的第二时间段施加所述第二射频功率到存在于所述等离子体产生区域内的所述双峰工艺气体组合物,以在所述等离子体产生区域内产生造成在所述衬底上的沉积为主的效果的等离子体,所述射频电源被配置为施加所述第二射频功率而不是所述第一射频功率,
所述射频电源被配置为在特定的总时间段内以交替和连续的方式重复在所述第一时间段施加所述第一射频功率并且在所述第二时间段施加所述第二射频功率。
2.根据条款1所述的等离子体处理系统,其中,在所述第一时间段期间施加的所述第一射频功率小于在所述第二时间段期间施加的所述第二射频功率。
3.根据条款1所述的等离子体处理系统,其中,在所述第一时间段期间施加的所述第一射频功率大于在所述第二时间段期间施加的所述第二射频功率。
4.根据条款1所述的等离子体处理系统,其中,所述第一射频功率和所述第二射频功率中的较低的一个是在从约100瓦(W)延伸至约1000W的范围内,或在从约300W延伸至约600W的范围内,或约500W。
5.根据条款4所述的等离子体处理系统,其中,所述第一射频功率和所述第二射频功率中的较高的一个是在从约750瓦(W)延伸至约6000W的范围内,或在从约1000W延伸至约4000W的范围内,或约2500W。
6.根据条款1所述的等离子体处理系统,其中所述第一时间段的持续时间小于所述第二时间段的持续时间。
7.根据条款1所述的等离子体处理系统,其中所述第一时间段的持续时间是所述第二时间段的持续时间的约三分之一。
8.根据条款1所述的等离子体处理系统,还包括:
线圈组件,所述线圈组件通过对射频信号基本透明的结构与所述等离子体产生区域分开,所述射频电源被连接以通过所述线圈组件将所述第一射频功率和第二射频功率提供给所述等离子体产生区域。
9.根据条款1所述的等离子体处理系统,还包括:
电极,所述电极设置为暴露于所述等离子体产生区域,所述射频电源被连接以通过所述电极将所述第一射频功率和第二射频功率提供给所述等离子体产生区域。
10.根据条款1所述的等离子体处理系统,还包括:
偏置射频电源,所述偏置射频电源被配置为在所述第一时间段期间将第一偏置电压施加到所述衬底支架,所述偏置射频电源被配置为在所述第二时间段期间将第二偏置电压施加到所述衬底支架。
11.根据条款10所述的等离子体处理系统,其中,所述第一偏置电压是在向上延伸至约5000伏特(V)的范围内,或向上延伸至约3000V的范围内,或在从约100V延伸至约5000V的范围内,或在从约200V延伸至约3000V的范围内。
12.根据条款11所述的等离子体处理系统,其中,所述第二偏置电压小于所述第一偏置电压。
13.根据条款12所述的等离子体处理系统,其中所述第一时间段的持续时间小于所述第二时间段的持续时间。
14.根据条款12所述的等离子体处理系统,其中所述第一时间段的持续时间是所述第二时间段的持续时间的约三分之一。
15.根据条款11所述的等离子体处理系统,其中,在所述等离子体区域内产生等离子体期间,所述第二偏置电压小于用于从衬底去除掩模材料所需要的阈值偏置电压。
16.根据条款11所述的等离子体处理系统,其中所述第二偏置电压为零。
17.根据条款1所述的等离子体处理系统,其中所述双峰工艺气体组合物包括基于氟的蚀刻剂物质和基于碳的沉积物质。
18.根据条款1所述的等离子体处理系统,其中所述双峰工艺气体组合物包括基于氧的蚀刻剂物质和基于硅的沉积物质。
19.根据条款1所述的等离子体处理系统,其中,所述射频电源被配置为通过产生具有在从1kHz(千赫兹)延伸至100MHz(兆赫兹)的范围内、或从400kHz延伸至60MHz的范围内、或从约1MHz延伸至约60MHz的范围内、或从约100kHz延伸至约1MHz的范围内的一个或多个频率的射频信号来施加所述第一射频功率和第二射频功率。
20.根据条款1所述的等离子体处理系统,其中所述蚀刻剂物质和所述沉积物质具有不同的与施加到所述双峰工艺气体组合物的射频功率成函数关系的解离速率响应。
本发明的其他方面和优点将根据下文的详细描述、结合以示例性方式图解本发明的附图将变得明显。
附图说明
图1A根据本发明的一些实施方式示出了穿过被制备的用于等离子体蚀刻处理的示例性衬底的一部分的竖直截面图。
图1B示出了穿过图1A的示例性衬底的一部分的在执行等离子体蚀刻处理后的竖直截面图。
图2根据本发明的多个实施方式示出了一示例性衬底处理模块。
图3A根据本发明的一些实施方式示出了针对示例性双峰工艺气体组合物内的沉积物质和蚀刻剂物质的解离速率与初级等离子体功率的关系曲线图,该双峰工艺气体组合物被配置成在低的初级等离子体功率下提供蚀刻为主的效果而在高的初级等离子体功率下提供沉积为主的效果。
图3B根据本发明的一些实施方式示出了示例性双峰工艺气体组合物内的沉积物质的解离速率和蚀刻剂物质的解离速率与初级等离子体功率的关系曲线图,该双峰工艺气体组合物被配置成在高的初级等离子体功率下提供蚀刻为主的效果,而在低的初级等离子体功率提供沉积为主的效果。
图4A根据本发明的一些实施方式示出了用于将双峰工艺气体组合物使用在对靶材料进行的等离子体蚀刻中的方法的流程图。
图4B根据本发明的一些实施方式示出了对应于图4A的方法的所施加的初级等离子体功率与时间的函数关系曲线图。
图5A根据本发明的一些实施方式示出了结合使用偏置电压将双峰工艺气体组合物使用在对靶材料进行的等离子体蚀刻中的方法的流程图。
图5B根据本发明的一些实施方式示出了对应于图5A的方法的所施加的初级等离子体功率与时间的函数关系曲线图。
图5C根据本发明的一些实施方式示出了响应于所施加的不同的初级等离子体功率,覆盖式氧化物蚀刻速率与所施加的偏置电压的函数关系曲线图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对本发明的透彻理解。然而,对于本领域技术人员而言,显而易见的是,在没有这些具体细节中的一些或全部的情形下可以实施本发明。在其他情形下,未详细描述公知的处理操作,以避免不必要地使所本发明难以理解。
本文公开了用于改进在半导体器件制造工艺中从衬底等离子体蚀刻材料的方法和系统。在一示例性实施方式中,如本文中所使用的术语衬底指的是半导体晶片。然而,应该理解的是,在其他实施方式中,如本文所使用的术语衬底可以指由蓝宝石、GaN、GaAs或SiC、或其他衬底材料形成的衬底,并且可以包括玻璃面板/衬底、金属箔、金属片、高分子材料、或类似物。另外,在多种实施方式中,在本文中所提到的衬底在形态、形状和/或尺寸方面可以不同。例如,在一些实施方式中,在本文中所提到的衬底可以对应于200mm(毫米)的半导体晶片、300mm的半导体晶片、或450mm的半导体晶片。此外,在一些实施方式中,在本文中所提到的衬底可以对应于非圆形衬底,例如用于平板显示器或类似物的矩形衬底,以及其他形状。
图1A根据本发明的一些实施方式示出了穿过被制备的用于等离子体蚀刻处理的示例性衬底101的一部分的竖直截面图。应当理解的是,衬底101由多层特定形状的不同的导体和绝缘体/介电材料组成,以形成晶体管器件和连接晶体管器件的各种终端和栅极的导线,以便形成预定的集成电路。为了便于描述,衬底101的基部101A表示这种多层不同材料积聚到特定点的集体积聚,在该特定点形成附加的结构。
图1A示出了设置在衬底101的基部101A上的靶材料152层,在靶材料152上设置有掩模材料154层。开口156穿过掩模材料154形成以使下伏的靶材料152的区域暴露。利用这种结构,进行等离子体蚀刻处理以去除在开口156的底部暴露的靶材料152的一部分。
图1B示出了穿过图1A的示例性衬底101的一部分的在执行等离子体蚀刻处理后的竖直截面图。图1B示出了靶材料152的通过开口156暴露于等离子体蚀刻处理的部分被去除。对应于靶材料152的被去除的部分的开口具有延伸穿过掩模材料154和靶材料152两者的总的开口高度160和开口宽度158。开口宽度158可对应于集成电路的布局的关键尺寸(CD)。开口高度160与开口宽度158的比值限定了开口的深宽比。
在现代的半导体器件的制造中,高深宽比(HAR)蚀刻已成为一种显著的挑战。例如,在导体蚀刻工艺中,碳的HAR蚀刻是一种特别的挑战,但只是许多现存的有关HAR的挑战之一。在HAR蚀刻工艺中,通常在靶材料152的蚀刻速率和靶材料152的相对于覆盖在靶材料152上的掩模材料154的蚀刻选择性之间进行权衡。更具体地,为了提高靶材料152的蚀刻速率,牺牲靶材料152的相对于上覆的掩模材料154的某种数量的蚀刻选择性可能是必要的。此外,在一些蚀刻处理中,较高的偏置电压施加在衬底101层次以便将等离子体的带电成分(例如,离子)以更直接的方式朝向衬底101吸引,从而实现对靶材料152的更快的蚀刻速率以及相应地靶材料152的更好的深宽比依赖性蚀刻(ARDE)。然而,在一些处理中,靶材料152相对于掩模材料154的蚀刻选择性随着在衬底101层次施加的偏置电压增大而迅速下降,从而导致上覆的掩模材料154的快速损失。
本文公开了一些方法,其中,等离子体处理根据所施加的用以产生等离子体的RF功率的变化而在蚀刻为主的处理状态和沉积为主的处理状态之间切换,并且同时使用相同的工艺气体组合物以在每个处理状态产生等离子体。在这个意义上,该工艺气体组合物被称为双峰工艺气体组合物。当在蚀刻为主的处理状态中操作时,靶材料152被蚀刻,并且掩膜材料154也被去除。当在沉积为主的处理状态中操作时,新的材料(如作为示例的聚合物材料)沉积在掩模材料154上来修复/重组掩模材料154。一些靶材料152可在沉积为主的处理状态期间蚀刻,但大多数靶材料152的蚀刻在蚀刻为主的处理状态期间进行。
图2根据本发明的多个实施方式示出了一示例性的衬底处理模块100。处理模块100包括被配置成保持暴露于在其中产生等离子体104的等离子体产生区域104A的衬底101的衬底支架102。本公开内容主要涉及一些装置、系统和方法,通过这些装置、系统和方法,偏置电压、初级等离子体功率和偏置电压RF信号频率这样的一个或多个工艺参数被系统地控制,以改善对靶材料152的HAR蚀刻,而不损坏上覆的掩模材料154。为了提供示例性的背景,处理模块100作为感应耦合等离子体(ICP)处理模块描述。然而,应该理解的是,在其他实施方式中,处理模块100可被定义为在半导体制造中使用的其他类型的处理模块。
处理模块100被配置为使得衬底101能暴露于基于等离子体的处理操作以便以预定的和受控的方式修改衬底101的特性。处理模块100包括由周边结构限定的室103,室103包括一个或更多个壁结构103A、底部结构103B和顶部结构103C。在一些实施方式中,顶部结构103C由能够传送射频信号的材料形成,该材料如石英或陶瓷等等。室103可以由导电材料形成,并且具有与基准接地电位106的电连接。
处理模块100包括设置在顶部结构103C上方的线圈组件105。RF电源107通过连接件109被连接以供应RF功率(RF信号)至线圈组件105。供应至线圈组件105的RF功率在本文被称为初级等离子体功率。在多种实施方式中,初级等离子体功率延伸至高达约6000瓦(W),或者甚至更高。在多种实施方式中,RF电源107包括一个或多个RF产生器和相关的阻抗匹配电路,以提供射频功率到线圈组件105的适当的传送。
在多种实施方式中,RF电源107可包括在一个或多个频率下运行的一个或多个RF信号产生器。多个RF信号频率可以在同一时间提供给线圈组件105。在一些实施方案中,由RF电源107输出的信号频率设置在从1kHz(千赫兹)延伸到100MHz(兆赫兹)的范围内。在一些实施方式中,由RF电源107输出的信号频率被设置在从400kHz延伸到60MHz的范围内。在一些实施方式中,RF电源107被设置以产生在2MHz、27MHz和60MHz的频率下的RF信号。在一些实施方式中,RF电源107被设置为产生在从约1MHz延伸至约60MHz的频率范围内的一个或多个高频RF信号,以及产生在从约100kHz延伸至约1MHz的频率范围内的一个或多个低频RF信号。应当理解的是,上述RF信号的频率范围以举例的方式提供。在实践中,RF电源107可以被配置为根据需要产生具有基本上任何频率的基本上任何RF信号以在等离子体产生区域104A内产生等离子体104。另外,RF电源107可包括基于频率的滤波,即,高通滤波和/或低通滤波,以确保特定的RF信号频率被传送到线圈组件105。
在一些实施方式中,处理模块100包括可关闭的访问端口111,例如闸阀或其他部件,可以通过访问端口111将衬底101传送进出室103。处理模块100还包括多个工艺气体供给端口113A、113B、113C,一种或多种工艺气体组合物可通过它们被提供给室103的在衬底支架102上的内部区域。在操作期间,操作工艺气体供应源115以分别通过一个或多个连接线117A、117B、117C输送一种或多种工艺气体组合物到工艺气体供给端口113A、113B、113C,并且将RF功率从RF电源107输送到线圈组件105,使得该RF功率在顶部结构103C下方且在衬底支架102上方的等离子体产生区域内产生电磁场,以将等离子体产生区域104A内的一种或多种工艺气体组合物变换成等离子体104。然后,等离子体104的反应性成分,如离子和/或自由基,与衬底101的暴露表面部分相互作用。处理模块100包括多个侧通风结构119,气体和副产品材料可以穿过侧通风结构119流到与排放模块123连接的排放口121,排放模块123被配置为施加负压到室103的内部,以促进使用过的工艺气体和副产品材料的排放。
此外,在一些实施方式中,衬底支架102被配置为通过连接件127从偏置RF电源125接收偏置RF功率,使得在衬底支架102上产生偏置电压,以便将离子从等离子体104朝向衬底支架102以及保持在衬底支架102上的衬底101吸引。在多种实施方式中,在衬底支架102上产生的偏置电压能够延伸高达5000伏(V),或者甚至更高。在多种实施方式中,RF电源125包括一个或多个RF产生器和相关的阻抗匹配电路,以使射频功率适当地传送到衬底支架102。
在各种实施方式中,RF电源125可包括在一种或多种频率下运行的一个或多个RF信号产生器。多个RF信号频率可以在同一时间被提供到衬底支架102。在一些实施方式中,由RF电源125输出的信号频率被设置在从1kHz(千赫兹)延伸到100MHz(兆赫兹)的范围内。在一些实施方式中,由RF电源125输出的信号频率被设置在从400kHz延伸到60MHz的范围内。在一些实施方式中,RF电源125被设置以产生在2MHz、27MHz和60MHz的频率下的RF信号。在一些实施方式中,RF电源125被设置为产生在从约1MHz延伸至约60MHz的频率范围内的一个或多个高频RF信号,以及产生在从约100kHz延伸至约1MHz的频率范围内的一个或多个低频RF信号。应当理解的是,上述RF信号的频率范围以举例的方式提供。在实践中,RF电源125可以被配置为根据需要产生具有基本上任何频率的基本上任何RF信号以在衬底101处产生预定的偏置电压。另外,RF电源125可包括基于频率的滤波,即,高通滤波和/或低通滤波,以确保特定的RF信号频率被传送到衬底支架102。
虽然处理模块100描绘了ICP处理模块的一个示例,但在多种实施方式中,处理模块100可以是在半导体器件的制造中使用的基本上任何类型的处理模块。例如,在一些实施方式中,处理模块100可以是电容耦合等离子体(CCP)处理模块,其中,替代在ICP处理模块中使用的线圈组件105,CCP处理模块包括布置在室103内的一个或多个电极,RF功率被输送到该一个或多个电极。在CCP处理模块中,所述一个或多个电极可包括顶部电极(例如,喷头电极或固体电极等等)、底部电极(例如,静电卡盘或衬底支撑件等等)和侧边电极(例如,外围环形电极等等)中的一个或多个,其中,所述顶部电极、底部电极、和侧边电极围绕等离子体产生区域配置。输送到CCP处理模块的一个或多个电极的射频功率被从该一个或多个电极通过存在于该等离子体产生区域内的一种或多种工艺气体组合物传送到基准接地电位,并且在这样进行时,在等离子体产生区域104A内的一种或多种工艺气体组合物变换成等离子体104。因此,被输送到CCP处理模块的一个或多个电极的RF功率是本文中所提及的初级等离子体功率。
应当理解的是,上面提到的ICP和CCP处理模块的实施例为了便于描述以简化的方式进行了讨论。在现实中,处理模块100(无论是ICP、CCP、还是一些其他类型)是包括本文没有描述的许多组件的复杂系统。然而,对于本讨论应当理解的是,处理模块100(无论其类型如何)包括衬底支架102,该衬底支架102被配置成以安全的方式保持暴露于等离子体104的衬底101以使得能处理衬底101,从而获得特定的结果。可以由处理模块100进行的等离子体处理操作的实例包括蚀刻操作、沉积操作、和灰化操作等等。
随着新的集成电路技术的发展,有更多的HAR蚀刻应用,并且ARDE成为甚至更大的挑战。在HAR蚀刻中,随着深宽比增大,假定用于离子的开放式传送(open transit)的可用立体角减小,则更少的离子可以到达在HAR特征的底部处的蚀刻前缘。另外,在HAR蚀刻中,随着深宽比的增大,离子在到达HAR特征的底部的蚀刻前缘之前通过散射相互作用失去较多的能量。其结果是,随着在HAR蚀刻中深宽比增大,更多的离子将到达HAR特征的底部的蚀刻前缘,这些离子的能量不足以引起靶材料的活化,从而不能用于蚀刻。一些传统的等离子体蚀刻工艺表明在深宽比超过10:1的HAR蚀刻应用中蚀刻速率显著下降。
用于处理在HAR蚀刻中的ARDE问题的一种方法是在衬底层次施加增大的偏置电压以使离子朝向衬底的方向性增强,并且使入射在衬底上的离子能量增加,从而增加到达HAR特征的底部的蚀刻前缘的离子的数量和能量。但是,在增加偏置电压用于获得到达HAR特征的底部的蚀刻前缘的较高能量的离子时,由于较高能量的离子增加对掩模材料154的溅射,因而增加偏置电压也导致覆盖在靶材料152上的掩模材料154的损耗增加。因此,靶材料152相对于掩模材料154的蚀刻选择性随着在衬底101层次施加的偏置电压增大而迅速下降。
在一些蚀刻应用中,以低占空比,例如,以低于50%的在衬底101层次所施加的高电压偏置与所施加的零偏置电压的比例,执行高电压偏置脉冲(HVBP)蚀刻处理,以改善靶材料152相对于掩模材料154的蚀刻选择性。但,经验表明,利用HAR的几何结构,当零偏置电压存在于衬底101层次时,随着深宽比增大,靶材料152的蚀刻速率变得非常低(甚至接近零)。此外,除了靶材料152的蚀刻速率和靶材料152相对于掩模材料154的蚀刻选择性之间的权衡问题外,还会存在有关HVBP和/或连续波(CW)蚀刻处理的其他问题,例如难以进行轮廓控制、孔变形(distortion)和/或顶端堵塞。例如,对于用于下一代的三维NAND器件的较厚的碳掩模材料层和较小的关键尺寸,需要改进的方法和系统,例如本文描述的那些,以满足关于蚀刻速率、靶对掩模的选择性、轮廓控制、孔变形、和/或顶部堵塞等等的工艺规范。
在另一种方法中,使用混合模式脉冲(MMP),其中工艺气体组合物在相继的脉冲之间改变,使得在一脉冲中,工艺气体组合物被配制成促进靶材料的蚀刻,并且在下一脉冲中,工艺气体组合物被配制成促进更多的掩模材料沉积,然后,在下一脉冲中,工艺气体组合物被配制成促进靶材料的蚀刻,等等。然而,在MMP方法中,在脉冲之间的工艺气体组合物的改变可能需要重要的系统资源,提高整个工艺的复杂性,并增加完成衬底的处理所需的时间。
本文公开了一些方法,其中施加单一双峰工艺气组合物以产生用于蚀刻处理的等离子体,其中该双峰工艺气体组合物被构造成能够根据所施加的用以产生等离子体的RF功率变化(即根据初级等离子体功率)在蚀刻为主的处理状态和沉积为主的处理状态之间变换,并且反之亦然。双峰工艺气体组合物是贫化学品,其是较具蚀刻主导性的,而聚合物沉积较少。在一些实施方式中,双峰工艺气体组合物的贫化学品也降低在蚀刻前缘的活化能,以在设置初级等离子体功率时提供较快的蚀刻,从而导致蚀刻为主的处理状态。双峰工艺气体组合物包括一种或多种蚀刻剂物质和一种或多种沉积物质的组合,一种或多种蚀刻剂物质和一种或多种沉积物质具有不同的与所施加的初级等离子体功率成函数关系的解离速率响应,使得在一种初级等离子体功率电平下,双峰工艺气体组合物会导致等离子体在衬底上显示出蚀刻为主的效果,以及在另一种初级等离子体功率电平下,双峰工艺气体组合物会导致等离子体在衬底上显示出沉积为主的效果。
例如,在一些实施方式中,双峰工艺气体组合物可以包括基于氟的蚀刻剂物质和基于碳的沉积物质。在这些示例性实施方式中,双峰工艺气体组合物的贫瘠性(leanness)可以通过其碳比氟的比率来表示,其中氟越多对应于越贫瘠且越具蚀刻性,而碳越多对应于越不贫瘠且越具沉积性。然而,应当理解,在其他实施方式中,双峰工艺气体组合物可包括分别不同于氟和碳的蚀刻剂物质和沉积物质。例如,在一些实施方式中,双峰工艺气体组合物可以包括基于氧的蚀刻剂物质和基于硅的沉积物质。一般而言,双峰工艺气体组合物可包括任何类型的蚀刻剂物质和任何类型的沉积物质,只要双峰工艺气体组合物使对应的等离子体在一种初级等离子体功率电平下在衬底上表现出沉积为主的效果而在另一种(不同的)初级等离子体功率电平下在衬底上表现出蚀刻为主的效果,并且只要双峰工艺气体组合物适于产生等离子体且能够以规定的方式与在衬底101上的掩模材料154和靶材料152中的每一种反应即可。
图3A根据本发明的一些实施方式示出了针对示例性双峰工艺气体组合物内的沉积物质和蚀刻剂物质的解离速率与初级等离子体功率的关系曲线图,该双峰工艺气体组合物被配置成在低的初级等离子体功率下提供蚀刻为主的效果而在高的初级等离子体功率下提供沉积为主的效果。如图3A所示,在低的初级等离子体功率下,蚀刻剂物质和沉积物质的相对解离速率是这样的以致相应的等离子体在衬底上显示出蚀刻为主的效果,如通过区域301所指示的。此外,在高的初级等离子体功率下,蚀刻剂物质和沉积物质的相对解离速率是这样的以致相应的等离子体在衬底上显示出沉积为主的效果,如通过区域303所指示的。因此,在低的初级等离子体功率电平下,使用双峰工艺气体组合物产生的等离子体将用于蚀刻靶材料152。并且,在高的初级等离子体功率电平下,使用双峰工艺气体组合物产生的等离子体将用于在掩模材料154上沉积材料以便修复/重组掩模材料154来补偿掩模材料154在低的初级等离子体功率电平下靶材料152的蚀刻过程中发生的损耗。
图3B根据本发明的一些实施方式示出了针对示例性双峰工艺气体组合物内的沉积物质和蚀刻剂物质的解离速率与初级等离子体功率的关系曲线图,该双峰工艺气体组合物被配置成在高的初级等离子体功率下提供蚀刻为主的效果,而在低的初级等离子体功率提供沉积为主的效果。在图3B所示的解离速率的特征与在图3A中所示的解离速率的特征基本上相反。图3B示出了在低的初级等离子体功率下蚀刻剂物质和沉积物质的相对解离速率是这样的以致相应的等离子体在衬底上显示出沉积为主的效果,如通过区域305所指示的。同样,在高的初级等离子体功率下蚀刻剂物质和沉积物质的相对解离速率是这样的以致相应的等离子体在衬底上显示出蚀刻为主的效果,如通过区域307所指示的。因此,在高的初级等离子体功率电平下,使用双峰工艺气体组合物产生的等离子体将用于蚀刻靶材料152。并且,在低的初级等离子体功率电平下,使用双峰工艺气体组合物产生的等离子体将用于在掩模材料154上沉积材料以便修复/重组掩模材料154来补偿掩模材料154在高的初级等离子体功率电平下靶材料152的蚀刻过程中发生的损耗。
图4A根据本发明的一些实施方式示出了用于将双峰工艺气体组合物使用在对靶材料的等离子体蚀刻中的方法的流程图。参考图2,该方法包括操作401,其中将衬底101设置在处理模块100内的衬底支架102上以进行等离子体蚀刻处理。如在图1A中所举例说明的,衬底101包括布置在靶材料152上的掩模材料154,靶材料152的一些部分通过掩模材料154中的开口暴露。该方法还包括用于提供双峰工艺气体组合物到衬底101上的等离子体产生区域104A的操作402。该方法还包括操作403,操作403用于在第一时间段通过施加低的初级等离子体功率(RF功率)到衬底101上的等离子体产生区域104A内的双峰工艺气体组合物而产生暴露于衬底101的等离子体。在一些实施方式中,在操作403期间施加的低的初级等离子体功率是在从约100W延伸到约1000W的范围内。在一些实施方式中,在操作403期间施加的低的初级等离子体功率是在从约300W延伸到约600W的范围内。在一些实施方式中,在操作403期间施加的低的初级等离子体功率为约500W。此外,在一些实施方式中,第一时间段的持续时间是在从约0.1毫秒(ms)延伸至约1000ms的范围内。
操作403对应于属于蚀刻为主的处理状态的第一处理状态,在该处理状态中,靶材料152被蚀刻。在操作403的蚀刻为主的处理状态中,一些掩模材料154将有可能由于靶材料152相对于掩模材料154的低的选择性而被去除。在一些实施方式中,双峰工艺气体组合物被配置成使得在操作403期间,在蚀刻前缘(即在暴露的靶材料152处)的活化能基本上是零。
在操作403完成之后,该方法继续执行操作405,操作405用于在第二时间段通过施加高的初级等离子体功率(RF功率)到衬底101上的等离子体产生区域104A内的双峰工艺气体组合物而产生暴露于衬底101的等离子体。应当理解,在操作405存在的双峰工艺气体组合物与在操作403中存在的双峰工艺气体组合物是相同的。因此,图4A的方法不是MMP方法,在MMP方法中工艺气体组合物在处理脉冲之间改变。在一些实施方式中,在操作405期间施加的高的初级等离子体功率是在从约750W延伸到约6000W的范围内。在一些实施方式中,在操作405期间施加的高的初级等离子体功率是在从约1000W延伸到约4000W的范围内。在一些实施方式中,在操作405期间施加的高的初级等离子体功率为约2500W。此外,在一些实施方式中,第二时间段的持续时间是在从约0.1ms延伸至约1000ms的范围内。
操作405对应于属于沉积为主的处理状态的第二处理状态,在该处理状态中,材料沉积在掩模材料154上以修复/重组在操作403的蚀刻为主的处理状态期间被除去的掩模材料154。在一些实施方式中,双峰工艺气体组合物被配置成使得在操作405期间,在蚀刻前缘(即在暴露的靶材料152处)的活化能相对于在操作403期间其值增大。从操作405开始,方法返回到操作403,如箭头411所指示的,以再施加低的初级等离子体功率(RF功率)到衬底101上的等离子体产生区域104A内的双峰工艺气体组合物,以将衬底101暴露于蚀刻为主的处理状态,在该处理状态,靶材料152被蚀刻。如通过指向终止操作409的箭头407所指示的,等离子体蚀刻处理可以在靶材料152的期望的蚀刻已经完成时的任何时间终止,或者在操作403期间,或在操作403的结尾,或在操作405期间,或在操作405的结尾。
图4B根据本发明的一些实施方式示出了对应于图4A的方法的所施加的初级等离子体功率与时间的函数关系曲线图。该图显示了第一时间段的持续时间,其中执行操作403,并且其中所述等离子体处于属于蚀刻为主的处理状态的第一处理状态。该图还显示了第二时间段的持续时间,其中执行操作405,并且其中所述等离子体处于属于沉积为主的处理状态的第二处理状态。在此特定实施例中,对应于蚀刻为主的处理状态的所述第一时间段小于对应于沉积为主的处理状态的第二时间段。这种类型的蚀刻对沉积的占空比可以用于一些处理应用中,在这些处理应用中掩模材料154的去除速率在蚀刻为主的处理状态期间是较大的,例如在蚀刻为主的处理状态期间在衬底层次施加偏置电压时是较大的。在一些示例性的实施方式中,对应于蚀刻为主的处理状态的第一时间段可以是对应于沉积为主的处理状态的第二时间段的约三分之一。然而,在其它实施方式中,针对蚀刻为主的处理状态的第一时间段和针对沉积为主的处理状态的第二时间段的各自的持续时间可以以保持/维持足够量的掩膜材料154以获得对靶材料152的所期望的蚀刻结果所必需的基本上任何方式设置。
图5A根据本发明的一些实施方式示出了结合使用偏置电压将双峰工艺气体组合物使用在对靶材料的等离子体蚀刻的方法的流程图。参考图2,该方法包括操作501,其中将衬底101设置在处理模块100内的衬底支架102上以进行等离子体蚀刻处理。如在图1A中所举例说明的,衬底101包括布置在靶材料152上的掩模材料154,靶材料152的一些部分通过掩模材料154中的开口暴露。该方法还包括用于提供双峰工艺气体组合物到衬底101上的等离子体产生区域104A的操作502。该方法还包括操作503,操作503用于在第一时间段通过施加低的初级等离子体功率(RF功率)到衬底101上的等离子体产生区域104A内的双峰工艺气体组合物而产生暴露于衬底101的等离子体。此外,操作503包括在第一时间段结合施加低的初级等离子体功率至双峰工艺气体组合物在衬底101层次施加偏置电压。在一些实施方式中,在操作503施加在延伸高达约5000V的电压范围内的偏置电压。在一些实施方式中,在操作503施加在延伸高达约3000V的电压范围内的偏置电压。在一些实施方式中,在操作503施加在从约100V延伸到约5000V的电压范围内的偏置电压。在一些实施方式中,在操作503施加在从200V延伸到约3000V的电压范围内的偏置电压。在操作503施加的电压用于将等离子体的离子/带电成分以较直接的方式朝向衬底101吸引,以及用于增加从等离子体朝向衬底101传送的离子/带电成分的动能,以及用于增大在衬底101附近的等离子体内的离子/带电成分的密度。
在一些实施方式中,在操作503期间施加的低的初级等离子体功率是在从约100W延伸到约1000W的范围内。在一些实施方式中,在操作503期间施加的低的初级等离子体功率是在从约300W延伸到约600W的范围内。在一些实施方式中,在操作503期间施加的低的初级等离子体功率为约500W。此外,在一些实施方式中,第一时间段的持续时间是在从约0.1ms延伸至约1000ms的范围内。操作503对应于属于蚀刻为主的处理状态的第一处理状态,在该处理状态中,靶材料152被蚀刻。在操作503的蚀刻为主的处理状态中,一些掩模材料154将有可能由于靶材料152相对于掩模材料154的低的选择性并且由于在衬底101层次偏置电压的施加而被去除。在一些实施方式中,双峰工艺气体组合物被配置成使得在操作503期间,在蚀刻前缘(即在暴露的靶材料152处)的活化能基本上是零。
在操作503完成之后,该方法继续执行操作505,操作505用于在第二时间段通过施加高的初级等离子体功率(RF功率)到衬底101上的等离子体产生区域104A内的双峰工艺气体组合物而产生暴露于衬底101的等离子体。此外,操作505包括在第二时间段结合施加高的初级等离子体功率到双峰工艺气体组合物减小/消除在衬底101层次的偏置电压。在一些实施方式中,在操作505在衬底层次施加的偏置电压小于去除掩模材料154所需的阈值偏置电压。在一些实施方式中,在操作505中在衬底层次处施加的偏置电压为零。应当理解,在操作505存在的双峰工艺气体组合物与在操作503中存在的双峰工艺气体组合物是相同的。因此,图5A的方法不是MMP方法,在MMP方法中工艺气体组合物在处理脉冲之间改变。在一些实施方式中,在操作505期间施加的高的初级等离子体功率是在从约750W延伸到约6000W的范围内。在一些实施方式中,在操作505期间施加的高的初级等离子体功率是在从约1000W延伸到约4000W的范围内。在一些实施方式中,在操作505期间施加的高的初级等离子体功率为约2500W。此外,在一些实施方式中,第二时间段的持续时间是在从约0.1ms延伸至约1000ms的范围内。
操作505对应于属于沉积为主的处理状态的第二处理状态,在该处理状态中,材料沉积在掩模材料154上以修复/重组在操作503的蚀刻为主的处理状态期间被除去的掩模材料154。在一些实施方式中,双峰工艺气体组合物被配置成使得在操作505期间,在蚀刻前缘(即在暴露的靶材料152处)的活化能相对于在操作503期间其值增大。从操作505开始,方法返回到操作503,如箭头511所指示的,以再施加低的初级等离子体功率(RF功率)到衬底101上的等离子体产生区域104A内的双峰工艺气体组合物,以将衬底101暴露于蚀刻为主的处理状态,在该处理状态,靶材料152被蚀刻。如通过指向终止操作509的箭头507所指示的,等离子体蚀刻处理可以在靶材料152的期望的蚀刻已经完成时的任何时间终止,或者在操作503期间,或在操作503的结尾,或在操作505期间,或在操作505的结尾。
图5B根据本发明的一些实施方式示出了对应于图5A的方法的所施加的初级等离子体功率与时间的函数关系曲线图。该图显示了第一时间段的持续时间,其中执行操作503,并且其中所述等离子体处于属于蚀刻为主的处理状态的第一处理状态且其中在衬底层次施加偏置电压。该图还显示了第二时间段的持续时间,其中执行操作505,并且其中所述等离子体处于属于沉积为主的处理状态的第二处理状态且其中所述偏置电压被降低/消除。在此特定实施例中,对应于蚀刻为主的处理状态的所述第一时间段小于对应于沉积为主的处理状态的所述第二时间段。这种类型的蚀刻对沉积的占空比可以用于一些处理应用中,在这些处理应用中掩模材料154的去除速率在蚀刻为主的处理状态期间是较大的,例如在蚀刻为主的处理状态期间在衬底层次施加偏置电压时是较大的。在一些示例性的实施方式中,对应于蚀刻为主的处理状态的第一时间段可以是对应于沉积为主的处理状态的第二时间段的约三分之一。然而,在其它实施方式中,针对蚀刻为主的处理状态的第一时间段和针对沉积为主的处理状态的第二时间段的各自的持续时间可以以保持/维持足够量的掩膜材料154以获得对靶材料152的所期望的蚀刻结果所必需的基本上任何方式设置。
在一示例性实施方式中,使用80sccm的CHF3+20sccm的NF3的双峰工艺气体组合物来应用图5A的方法,其中,sccm是指标准立方厘米/分钟的流率。利用本示例性的双峰工艺气体组合物,等离子体会在低的初级等离子体功率下表现出蚀刻为主的效果和在高的等离子体功率下表现出沉积为主的效果。更具体地说,在低的初级等离子体功率下,所述成分NF3的解离速率是较高的,使得NF3起主导作用并且蚀刻是在衬底上的主要效果。并且,在高的初级等离子体功率下,所述成分CHF3的解离速率是较高的,使得CHF3起主导作用并且沉积是在衬底上的主要效果。
图5C根据本发明的一些实施方式示出了响应于不同的所施加的初级等离子体功率,覆盖式氧化物蚀刻速率与所施加的偏置电压的函数关系曲线图。用来产生图5C的示例性曲线图的等离子体在10毫托下使用80sccm的CHF3+20sccm的NF3的双峰工艺气体组合物来生成。在操作503施加的低的初级等离子体功率为500W,其中由500W TCP曲线表示相应的蚀刻速率与偏置电压响应的关系。在操作505施加的高的初级等离子体功率为2500瓦,其中由2500W TCP曲线表示相应的蚀刻速率与偏置电压响应的关系。图5C表明,在操作503施加低的初级等离子体功率时,在施加零偏置电压的情况下进行蚀刻。因此,在操作503施加低的初级等离子体功率时,所需的活化能是零,并且氧化物将在等离子体的存在下自发蚀刻,且有施加的偏置电压时蚀刻得甚至更多。在这个意义上,80sccm的CHF3+20sccm的NF3的双峰工艺气体组合物表示在低的初级等离子体功率下的贫化学品。但是,在操作505施加高的初级等离子体功率时,有进行蚀刻所需的约33V的活化阈值。在约33V的该活化阈值以下,将不会发生蚀刻,由此使得沉积能够进行。在这个意义上,80sccm的CHF3+20sccm的NF3的双峰工艺气体组合物表示在高的初级等离子体功率下的非贫化学品。
在图5C的示例中,当在操作503中初级等离子体功率是低的时,对覆盖式氧化物的蚀刻发生,并且偏置电压被施加以增强蚀刻的方向性。然后,当在操作505中初级等离子体功率是高的时,材料在覆盖氧化物上的沉积发生,并且偏置电压被关断,以避免对材料沉积的干扰。因此,图5C表明,在适当配置双峰工艺气体组合物的情况下,低的初级等离子体功率结合增大的偏置电压使用(如在操作503中)可以使得ARDE改进。并且,高的初级等离子体功率结合在低/零的偏置电压使用(如在操作505中)可以使得材料(如聚合物材料)能在掩模材料154上沉积以修复/重组掩模材料154。应该理解的是,参照图5C公开的80sccm的CHF3+20sccm的NF3的双峰工艺气体组合物通过示例的方式被提供,并且不应被解释为对本文公开的方法的限制。
在多种实施方式中,基本上任何双峰工艺组合物可以被配制并使用,只要所得等离子体在一种初级等离子体功率电平显示出蚀刻为主的效果并且在另一不同的初级等离子体功率电平下显示出沉积为主的效果即可。此外,虽然图4B和图5B的示例示出了等离子体在低的初级等离子体功率电平下显示出蚀刻为主的效果并且在高的初级等离子体功率电平显示出沉积为主的效果,但应该理解的是,这种关系可以利用其它双峰工艺气体组合物而反转。具体地,对于某些双峰工艺气体组合物,等离子体将在高的初级等离子体功率电平下显示出蚀刻为主的效果并且在低的初级等离子体功率电平下显示出沉积为主的效果。例如,使用10sccm的SiCl4+1000sccm的O2的双峰工艺气体组合物产生的等离子体将在约100W的低的初级等离子体功率电平下显示出沉积为主的效果,并且将在约3000W的高的初级等离子体功率电平下显示出蚀刻为主的效果。同样,应该理解的是,这里提到的10sccm的SiCl4+1000sccm的O2的双峰工艺气体组合物以举例的方式提供,并且不应被解释为对本文公开的方法的限制。
本文公开的方法提供用于等离子体蚀刻处理,其中单一双峰工艺气体组合物使得在第一初级等离子体功率电平下产生贫化学品(零活化能)的等离子体,并在与第一初级等离子体功率电平不同的第二初级等离子体功率电平下使该等离子体转变成聚合化学品(非零活化能)。在一些实施方式中,第一初级等离子体功率电平是低的初级等离子体功率电平,而第二初级等离子体功率电平是高的初级等离子体功率电平。在一些实施方式中,第一初级等离子体功率电平是高的初级等离子体功率电平,而第二初级等离子体功率电平是低的初级等离子体功率电平。第一初级等离子体功率电平和第二初级等离子体功率电平之间的快速切换使得蚀刻为主的等离子体状态和沉积为主的等离子体状态之间能快速切换。应当理解,相比于使用MMP工艺(其中双峰工艺气体组合物改变以导致等离子体状态的转变)可以获得的慢得多的转变能力,根据本文公开的方法,通过在使用相同的双峰工艺气体组合物时改变所施加的初级等离子体功率,蚀刻为主的等离子体状态和沉积为主的等离子体状态之间的过渡可以非常快速地完成。因此,当与MMP工艺比较时,本文公开的方法能够提高衬底处理产量。此外,举例而言,本文公开的方法可以通过使用参照图2以及图5B所讨论的RF功率电源107和125以脉冲方式使初级等离子体功率与所施加的偏置电压电平同步而提供较好的工艺控制。
本文公开的方法通过提供掩模保护而不需要使用多种不同的工艺气体组合物,解决与靶材料152相对于上覆的掩模材料154的蚀刻选择性相关的问题。本文公开的方法还通过以同步的方式切换初级等离子体功率电平和偏置电压电平显示了对现有的“贫”或“富聚合物”工艺的天然选择性的改进。本文公开的方法提供了在蚀刻非常HAR的特征(例如,具有30比1,或更大的深宽比的特征)中的益处,其中常规蚀刻化学品(非常聚合的,有选择性限制)由于在蚀刻前缘较少的离子能量并且最终没有足够的离子能量活化HAR特征的底部蚀刻而减慢蚀刻或停止蚀刻。然而,应该理解的是,本文公开的方法不限于蚀刻HAR特征,并且可以在基本上任何的其中在等离子体蚀刻处理过程中修复/重组掩膜材料154是有利的/必要的等离子体蚀刻应用中提供益处。
虽然为了清楚理解的目的已经相当详细地描述了前述的实施方式,但是显而易见的是,可在所附权利要求书的范围内实施某些变化和修改方案。因此,本发明的实施方式应被视为是说明性的而不是限制性的,并且所述实施方式并不限于本文所给出的细节,而是可以在所描述的实施方式的范围和等同方案内进行修改。

Claims (20)

1.一种用于半导体器件制造的等离子体处理系统,其包括:
衬底支架,其位于处理室内,所述衬底支架包括衬底支撑表面,所述衬底支撑表面构造成保持衬底暴露于所述处理室内的等离子体产生区域,所述等离子体产生区域构造成接收双峰工艺气体组合物;
工艺气体供应源,所述工艺气体供应源包括所述双峰工艺气体组合物,所述工艺气体供应源被连接以将所述双峰工艺气体组合物输送到所述处理室内的所述等离子体产生区域,所述双峰工艺气体组合物包括蚀刻剂物质和沉积物质,所述蚀刻剂物质被配置成当所述双峰工艺气体组合物暴露于第一射频功率时在衬底上提供蚀刻为主的效果,其中所述双峰工艺气体组合物被配置成当所述双峰工艺气体组合物暴露于所述第一射频功率时,在所述衬底的蚀刻前缘处提供基本上是零的第一活化能,所述沉积物质被配置成当所述双峰工艺气体组合物暴露于第二射频功率时在所述衬底上提供沉积为主的效果,其中所述双峰工艺气体组合物被配置成当所述双峰工艺气体组合物暴露于所述第二射频功率时,在所述衬底的所述蚀刻前缘处提供比所述第一活化能大的第二活化能;和
射频电源,所述射频电源被配置为在第一时间段施加所述第一射频功率到存在于所述等离子体产生区域内的所述双峰工艺气体组合物,以在所述等离子体产生区域内产生造成在所述衬底上的蚀刻为主的效果的等离子体,
所述射频电源被配置为在所述第一时间段完成后的第二时间段施加所述第二射频功率到存在于所述等离子体产生区域内的所述双峰工艺气体组合物,以在所述等离子体产生区域内产生造成在所述衬底上的沉积为主的效果的等离子体,所述射频电源被配置为施加所述第二射频功率而不是所述第一射频功率,
所述射频电源被配置为在特定的总时间段内以交替和连续的方式重复在所述第一时间段施加所述第一射频功率并且在所述第二时间段施加所述第二射频功率。
2.根据权利要求1所述的等离子体处理系统,其中,在所述第一时间段期间施加的所述第一射频功率小于在所述第二时间段期间施加的所述第二射频功率。
3.根据权利要求1所述的等离子体处理系统,其中,在所述第一时间段期间施加的所述第一射频功率大于在所述第二时间段期间施加的所述第二射频功率。
4.根据权利要求1所述的等离子体处理系统,其中,所述第一射频功率和所述第二射频功率中的较低的一个是在从约100瓦(W)延伸至约1000W的范围内,或在从约300W延伸至约600W的范围内,或约500W。
5.根据权利要求4所述的等离子体处理系统,其中,所述第一射频功率和所述第二射频功率中的较高的一个是在从约750瓦(W)延伸至约6000W的范围内,或在从约1000W延伸至约4000W的范围内,或约2500W。
6.根据权利要求1所述的等离子体处理系统,其中所述第一时间段的持续时间小于所述第二时间段的持续时间。
7.根据权利要求1所述的等离子体处理系统,其中所述第一时间段的持续时间是所述第二时间段的持续时间的约三分之一。
8.根据权利要求1所述的等离子体处理系统,还包括:
线圈组件,所述线圈组件通过对射频信号基本透明的结构与所述等离子体产生区域分开,所述射频电源被连接以通过所述线圈组件将所述第一射频功率和第二射频功率提供给所述等离子体产生区域。
9.根据权利要求1所述的等离子体处理系统,还包括:
电极,所述电极设置为暴露于所述等离子体产生区域,所述射频电源被连接以通过所述电极将所述第一射频功率和第二射频功率提供给所述等离子体产生区域。
10.根据权利要求1所述的等离子体处理系统,还包括:
偏置射频电源,所述偏置射频电源被配置为在所述第一时间段期间将第一偏置电压施加到所述衬底支架,所述偏置射频电源被配置为在所述第二时间段期间将第二偏置电压施加到所述衬底支架。
11.根据权利要求10所述的等离子体处理系统,其中,所述第一偏置电压是在向上延伸至约5000伏特(V)的范围内,或向上延伸至约3000V的范围内,或在从约100V延伸至约5000V的范围内,或在从约200V延伸至约3000V的范围内。
12.根据权利要求11所述的等离子体处理系统,其中,所述第二偏置电压小于所述第一偏置电压。
13.根据权利要求12所述的等离子体处理系统,其中所述第一时间段的持续时间小于所述第二时间段的持续时间。
14.根据权利要求12所述的等离子体处理系统,其中所述第一时间段的持续时间是所述第二时间段的持续时间的约三分之一。
15.根据权利要求11所述的等离子体处理系统,其中,在所述等离子体区域内产生等离子体期间,所述第二偏置电压小于用于从衬底去除掩模材料所需要的阈值偏置电压。
16.根据权利要求11所述的等离子体处理系统,其中所述第二偏置电压为零。
17.根据权利要求1所述的等离子体处理系统,其中所述双峰工艺气体组合物包括基于氟的蚀刻剂物质和基于碳的沉积物质。
18.根据权利要求1所述的等离子体处理系统,其中所述双峰工艺气体组合物包括基于氧的蚀刻剂物质和基于硅的沉积物质。
19.根据权利要求1所述的等离子体处理系统,其中,所述射频电源被配置为通过产生具有在从1kHz(千赫兹)延伸至100MHz(兆赫兹)的范围内、或从400kHz延伸至60MHz的范围内、或从约1MHz延伸至约60MHz的范围内、或从约100kHz延伸至约1MHz的范围内的一个或多个频率的射频信号来施加所述第一射频功率和第二射频功率。
20.根据权利要求1所述的等离子体处理系统,其中所述蚀刻剂物质和所述沉积物质具有不同的与施加到所述双峰工艺气体组合物的射频功率成函数关系的解离速率响应。
CN201811515594.4A 2015-11-04 2016-08-25 一种用于半导体器件制造的等离子体处理系统 Active CN110010463B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811515594.4A CN110010463B (zh) 2015-11-04 2016-08-25 一种用于半导体器件制造的等离子体处理系统

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/932,265 US9691625B2 (en) 2015-11-04 2015-11-04 Methods and systems for plasma etching using bi-modal process gas composition responsive to plasma power level
US14/932,265 2015-11-04
CN201610719175.7A CN106653550B (zh) 2015-11-04 2016-08-25 用双峰工艺气体组合物进行等离子体蚀刻的方法和系统
CN201811515594.4A CN110010463B (zh) 2015-11-04 2016-08-25 一种用于半导体器件制造的等离子体处理系统

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201610719175.7A Division CN106653550B (zh) 2015-11-04 2016-08-25 用双峰工艺气体组合物进行等离子体蚀刻的方法和系统

Publications (2)

Publication Number Publication Date
CN110010463A CN110010463A (zh) 2019-07-12
CN110010463B true CN110010463B (zh) 2023-06-27

Family

ID=58635195

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201811515594.4A Active CN110010463B (zh) 2015-11-04 2016-08-25 一种用于半导体器件制造的等离子体处理系统
CN201610719175.7A Active CN106653550B (zh) 2015-11-04 2016-08-25 用双峰工艺气体组合物进行等离子体蚀刻的方法和系统

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201610719175.7A Active CN106653550B (zh) 2015-11-04 2016-08-25 用双峰工艺气体组合物进行等离子体蚀刻的方法和系统

Country Status (5)

Country Link
US (2) US9691625B2 (zh)
JP (2) JP6849339B2 (zh)
KR (1) KR20170054280A (zh)
CN (2) CN110010463B (zh)
TW (1) TW201727737A (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10009028B2 (en) * 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
KR102404119B1 (ko) * 2017-12-13 2022-05-31 어플라이드 머티어리얼스, 인코포레이티드 전하 손상을 방지하기 위해 플라즈마 펄싱을 이용하는 공간적 원자 층 증착 챔버
CN112105754B (zh) * 2018-05-17 2023-05-16 瑞士艾发科技 处理衬底的方法和真空沉积设备
US10593518B1 (en) * 2019-02-08 2020-03-17 Applied Materials, Inc. Methods and apparatus for etching semiconductor structures
JP2022140924A (ja) * 2021-03-15 2022-09-29 東京エレクトロン株式会社 基板処理方法および基板処理装置
EP4350347A1 (en) 2021-06-03 2024-04-10 NSK Ltd. Grease deterioration detecting method, and lubricant deterioration detecting method
JP7250895B2 (ja) * 2021-06-22 2023-04-03 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
JP7257088B1 (ja) * 2022-03-24 2023-04-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム
CN117080062B (zh) * 2023-10-13 2024-01-26 无锡邑文微电子科技股份有限公司 碗状刻蚀的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000164571A (ja) * 1998-11-27 2000-06-16 Sony Corp コンタクトホール形成方法およびプラズマエッチング方法
CN1938449A (zh) * 2004-03-26 2007-03-28 东京毅力科创株式会社 离子化物理气相沉积(ipvd)工艺
EP2484807A1 (en) * 2011-02-03 2012-08-08 Mitsubishi Materials Corporation Surface-coated cutting tool having hard-coating layer with excellent chipping resistance and fracturing resistance

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0565212A2 (en) * 1986-12-19 1993-10-13 Applied Materials, Inc. Iodine etch process for silicon and silicides
JPH02260424A (ja) * 1989-03-30 1990-10-23 Matsushita Electric Ind Co Ltd ドライエッチング方法
JP2728011B2 (ja) * 1995-03-15 1998-03-18 株式会社日立製作所 プラズマ処理方法
DE69725245T2 (de) * 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
US20010045354A1 (en) * 1997-06-02 2001-11-29 Yiqiong Wang Method of etching high aspect ratio openings in silicon
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
WO1999067817A1 (en) * 1998-06-22 1999-12-29 Applied Materials, Inc. Silicon trench etching using silicon-containing precursors to reduce or avoid mask erosion
JP4153606B2 (ja) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
US6383938B2 (en) * 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
JP2001168086A (ja) * 1999-12-09 2001-06-22 Kawasaki Steel Corp 半導体装置の製造方法および製造装置
TW465112B (en) * 2000-07-24 2001-11-21 Hannstar Display Corp A process to form thin film transistor and the improvement method thereof
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
CN100492598C (zh) * 2003-10-21 2009-05-27 优利讯美国有限公司 使用交替淀积/蚀刻工序蚀刻衬底中特征的方法和设备
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
WO2012008179A1 (ja) * 2010-07-12 2012-01-19 住友精密工業株式会社 エッチング方法
US8598675B2 (en) * 2011-02-10 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure profile for gap filling
US9679751B2 (en) * 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9236305B2 (en) * 2013-01-25 2016-01-12 Applied Materials, Inc. Wafer dicing with etch chamber shield ring for film frame wafer applications
US20150001180A1 (en) * 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
US9576773B2 (en) * 2013-07-30 2017-02-21 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into glass, fused silica, and quartz materials
JP6334369B2 (ja) * 2014-11-11 2018-05-30 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000164571A (ja) * 1998-11-27 2000-06-16 Sony Corp コンタクトホール形成方法およびプラズマエッチング方法
CN1938449A (zh) * 2004-03-26 2007-03-28 东京毅力科创株式会社 离子化物理气相沉积(ipvd)工艺
EP2484807A1 (en) * 2011-02-03 2012-08-08 Mitsubishi Materials Corporation Surface-coated cutting tool having hard-coating layer with excellent chipping resistance and fracturing resistance

Also Published As

Publication number Publication date
US20170125253A1 (en) 2017-05-04
JP2021093548A (ja) 2021-06-17
US10177003B2 (en) 2019-01-08
KR20170054280A (ko) 2017-05-17
US9691625B2 (en) 2017-06-27
TW201727737A (zh) 2017-08-01
JP2017112350A (ja) 2017-06-22
JP7122422B2 (ja) 2022-08-19
CN106653550B (zh) 2019-01-08
CN106653550A (zh) 2017-05-10
US20170271166A1 (en) 2017-09-21
CN110010463A (zh) 2019-07-12
JP6849339B2 (ja) 2021-03-24

Similar Documents

Publication Publication Date Title
CN110010463B (zh) 一种用于半导体器件制造的等离子体处理系统
CN109616413B (zh) 用于对蚀刻工艺进行先进的离子控制的方法和系统
US9053908B2 (en) Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching
KR101328800B1 (ko) 다중 주파수의 rf 펄스 파워를 이용한 펄스 플라즈마의 특성 제어 방법
CN110047725B (zh) 独立控制自由基密度、离子密度和离子能量的方法和系统
US10998170B2 (en) Method for ion mass separation and ion energy control in process plasmas
US20190318913A1 (en) Apparatus and Method for Controlling Ion Energy Distribution in Process Plasmas
JP4342984B2 (ja) エッチング方法
KR20190003093A (ko) 반도체 제조 장치, 이의 동작 방법
CN111937114A (zh) 用于在加工等离子体时控制离子能量分布的装置和方法
TW202312640A (zh) 用於對電漿中的離子能量分佈進行數位控制的方法和裝置
JP2005260195A (ja) エッチング装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant