CN109426065B - 反射式光掩模及其制作方法 - Google Patents

反射式光掩模及其制作方法 Download PDF

Info

Publication number
CN109426065B
CN109426065B CN201711085885.XA CN201711085885A CN109426065B CN 109426065 B CN109426065 B CN 109426065B CN 201711085885 A CN201711085885 A CN 201711085885A CN 109426065 B CN109426065 B CN 109426065B
Authority
CN
China
Prior art keywords
layer
absorber
etch
reflective
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711085885.XA
Other languages
English (en)
Other versions
CN109426065A (zh
Inventor
陈俊郎
涂志强
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109426065A publication Critical patent/CN109426065A/zh
Application granted granted Critical
Publication of CN109426065B publication Critical patent/CN109426065B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本发明实施例提供一种制作掩模底版的方法包括在衬底的上方沉积反射性多层;在所述反射性多层的上方沉积顶盖层;在所述顶盖层的上方沉积吸收体层;以及在所述吸收体层的上方沉积减反射涂布层。所述减反射涂布层是单一材料膜。

Description

反射式光掩模及其制作方法
技术领域
本发明实施例是有关于一种反射式光掩模及其制造方法。
背景技术
光刻(Lithography)用于在半导体组件的制作过程中将图案转移到芯片上。基于各种集成电路(integrated circuit,IC)布局,将掩模的图案减小为2:1或4:1的因数以将所述图案转移到芯片的表面。掩模(也被称作掩模版(reticle))是由一侧上沉积有一种或多种不透明材料以阻挡光刻辐射(lithographic radiation)渗透的衬底所制成。配置亮区(clear region)配置成反射或传输光刻辐射。随着尺寸的减小及IC芯片中的密度的增大,已开发出例如极紫外(extreme ultraviolet,EUV)曝光、相移掩模(phase-shift mask,PSM)、光学近接修正(optical proximity correction,OPC)、离轴照明(off-axisillumination,OAI)及双偶极光刻(double dipole lithography,DDL)等分辨率增强技术来提高焦点深度(depth of focus,DOF)以使得能够更精确地将图案转移到芯片上。
发明内容
本发明实施例提供一种制作掩模底版的方法包括在衬底的上方沉积反射性多层;在所述反射性多层的上方沉积顶盖层;在所述顶盖层的上方沉积吸收体层;以及在所述吸收体层的上方沉积减反射涂布层,其中所述减反射涂布层是单一材料膜。
本发明实施例提供一种制造掩模版的方法包括:在衬底的上方沉积吸收体层;在所述吸收体层的上方沉积硬掩模层,其中所述硬掩模层包含钽;直接在所述硬掩模层的上方涂布光刻胶;以及将所述光刻胶图案化,以暴露出所述硬掩模层的一部分。
本发明实施例提供一种反射式光掩模包括衬底、反射性多层及多个吸收体堆叠。所述反射性多层位于衬底的上方。所述多个吸收体堆叠位于反射性多层的上方。所述多个吸收体堆叠中的每一个吸收体堆叠均具有刻蚀终止层、吸收体层及减反射涂布层,其中所述减反射涂布层的厚度对所述刻蚀终止层的厚度的比率介于从约1:1到约1:2.5范围内。
附图说明
结合附图阅读以下详细说明,会最好地理解本发明实施例的各个方面。应注意,根据本行业中的标准惯例,各种特征并非按比例绘制。事实上,为论述清晰起见,可任意增大或减小各种特征的尺寸。
图1是根据一个或多个本发明实施例的反射式掩模底版的剖视图。
图2是根据一个或多个本发明实施例的制作反射式掩模的方法的流程图。
图3A至图3D是根据一个或多个本发明实施例的反射式掩模在各种制造阶段处的剖视图。
图4A至图4B是根据一个或多个本发明实施例的反射式掩模的剖视图。
图5是根据一个或多个本发明实施例的反射式掩模的剖视图。
[符号的说明]
100:掩模底版/反射式掩模底版;
110、310、410、510:衬底;
120、320、420、520:反射性多层;
130、330、430、530:顶盖层;
140、340、440:刻蚀终止层;
150、350、450、550:吸收体层;
160、360、460、560:减反射涂布层;
200:方法;
210、220、230、240:操作;
301、401、501:反射式掩模;
370:感光性层;
372:暗区;
374:亮区;
376、476、576:吸收体堆叠。
具体实施方式
以下公开内容提供用于实作所提供主题的不同特征的许多不同的实施例或实例。以下阐述部件、值、操作、材料、排列等的具体实例以简化本发明实施例。当然,这些仅为实例且不旨在进行限制。预期存在其他部件、值、操作、材料、排列等。例如,以下说明中将第一特征形成在第二特征“的上方”或第二特征“上”可包括其中第一特征及第二特征被形成为直接接触的实施例,且也可包括其中第一特征与第二特征之间可形成有附加特征、进而使得所述第一特征与所述第二特征可能不直接接触的实施例。另外,本公开内容可能在各种实例中重复使用参考编号及/或字母。这种重复使用是出于简洁及清晰的目的,而不是自身表示所论述的各种实施例及/或配置之间的关系。
此外,为易于说明,本文中可能使用例如“的下方(beneath)”、“下面(below)”、“下部的(lower)”、“上方(above)”、“上部的(upper)”等空间相对性用语来阐述图中所示的一个组件或特征与另一(其他)组件或特征的关系。所述空间相对性用语旨在除图中所绘示的取向外还囊括组件在使用或操作中的不同取向。
按比例缩减工艺(scaling down process)一般来说通过提高生产效率且降低相关成本来提供效益。然而,由于半导体组件特征大小已减小成小于在光刻工艺中使用的辐射的波长,因此制造最小特征大小(minimum feature size)(也被称作临界尺寸(criticaldimension,CD))的能力变得对穿过掩模或掩模版的光的光学边缘现象(opticalfringing)更加敏感。为推进实现对此种最小特征大小的制作需求,将执行较高分辨率光刻工艺。反射式光刻使用例如极紫外(EUV)、X射线(x-ray)、激光(laser)、离子束(ion beam)、或电子束(electron beam,e-beam)等辐射源。反射式光刻使用由掩模或掩模版所反射的光将芯片图案化。
以下说明与EUV光刻相关;然而,所属领域中的普通技术人员将意识到不同的光波长也适用于此说明。EUV光刻采用使用EUV波长范围约为13.5纳米(nm)的光的辐射源。在一些实施例中,与一些光学扫描器相似,EUV扫描器提供4倍减小投影印刷(4x reductionprojection printing),但所述扫描器使用反射性光学组件而非折射性光学组件(即,使用镜而非透镜)。在掩模制作工艺期间,与不透明光屏蔽区对应的多个吸收体堆叠中的每一个均包括吸收体层及减反射涂布(anti-reflective coating,ARC)层,所述吸收体层被配置成吸收投影在芯片上的处于预定波长的辐射,所述减反射涂布层被配置成帮助防止所反射的频带外辐射(out-of-band radiation)被吸收。
减反射涂布层还被配置成充当硬掩模层(也被称作自掩模层(self-masklayer))。具体来说,减反射涂布层的厚度对吸收体层的厚度的比率介于从约0.015到约0.075范围内。减反射涂布层的厚度介于从约1nm到约5nm范围内。在一些情形中,减反射涂布层的厚度与吸收体层的厚度之间的比率的变大或变小将降低图案图像的对比及分辨率。在一些方式中,掩模不含有位于吸收体层的上方的含铬硬掩模层。因此,直接将光刻胶涂布在减反射涂布层的最顶部的顶表面的上方以界定图像图案。如此一来,制作工艺会减少至少一个图案转移步骤,此有助于减少最终掩模产品中的污染物及/或颗粒,且会在后续工艺期间节省相关联成本及时间。因此,与其他方式相比,制作工艺时间及成本得到减少。在一些方式中,在顶盖层的上方沉积刻蚀终止层以帮助保护所述顶盖层免于在一系列刻蚀及清洁工艺(cleaning process)期间受到损坏。如此一来,与其他方式相比,顶盖层具有更均匀(more uniform)的表面,由此减少在光刻工艺期间由掩模所反射的辐射的能量损耗。
图1是根据一个或多个本发明实施例的反射式掩模底版100的剖视图。反射式掩模底版100包括衬底110、反射性多层(reflective multilayer)120、顶盖层130、刻蚀终止层140、吸收体层150、及减反射涂布层160。反射式掩模底版100被配置成反射具有预定波长范围的辐射,例如深紫外(deep ultraviolet,DUV)(从约100nm到约300nm)或极紫外(从约13.2nm到约13.8nm)。在一些实施例中,衬底110包含例如掺杂钛的二氧化硅等低热膨胀(low thermal expansion,LTE)材料。在一些实施例中,衬底110包含LTE玻璃、熔融石英(fused quartz)、碳化硅、黑金刚石(carbonado)、或其它合适的材料。在一些实施例中,衬底110具有介于从约6.3毫米(mm)到约6.7mm范围内的厚度。在一些情形中,厚度变大会增加制造成本且不提供显着效益。在一些情形中,厚度变小会增大反射式掩模底版100变形的风险。反射性多层120位于衬底110的上方且包括多个交替的层,所述多个交替的层对辐射散射(radiation scattering)具有相对高的折射率且对辐射传输(radiationtransmitting)具有相对低的折射率。将这两种类型的材料配对于一起会提供共振反射率(resonant reflectivity)。在一些实施例中,反射性多层120包括多个钼/硅对(例如,在每一对中,钼层位于硅层上方或下方)或多个钼化合物/硅化合物对。在一些实施例中,反射性多层120包含多个钼/铍对或具有折射率差(refractive index difference)以对所选择辐射具有高的反射率(例如,从约60%到约70%)的其它合适的材料。在一些实施例中,反射性多层120中的每一层均具有从约4nm到约8nm的厚度,总厚度约为300nm。所述厚度被调整成实现在每一界面处衍射的所选择辐射的最大相长干扰(constructive interference)以及所述所选择辐射的最小吸收。在一些情形中,厚度变大或变小会降低反射率。在一些实施例中,反射性多层120包括从约20对到约70对的层。在一些情形中,对的数目变小会降低反射率。在一些情形中,对的数目变大会提高接触异物颗粒及/或出现缺陷的可能性。在反射性多层120包含钼及硅的一些实施例中,对的数目为40。在至少一个实施例中,衬底110进一步包括位于与反射性多层120相反的一侧上的背侧涂布层(图中未示出)。在一些情形中,背侧涂布层为金属膜或多晶硅膜。
顶盖层130位于反射性多层120的上方且被配置成用作保护层以帮助防止反射性多层120在图案化工艺(patterning process)及/或修理工艺(repairing process)期间被移除。在光刻工艺期间,顶盖层130对所选择波长范围来说为透明。举例来说,钌对EUV辐射透明。在一些实施例中,顶盖层130有助于延长掩模的寿命。在一些实施例中,顶盖层130有助于防止反射性多层120氧化。在一些实施例中,顶盖层130包含钌、钌化合物、硅化合物、氧化铬、其组合、或其它合适的的材料。在顶盖层130包含钌的一些实施例中,顶盖层130的厚度介于从约2nm到约4nm范围内。在一些情形中,厚度变大会降低反射率。在一些情形中,厚度变小会在制作工艺期间提供不充足的耐化学性(insufficient chemical resistance)。在各种实施例中,顶盖层130也被称作缓冲层(buffer layer)。
刻蚀终止层140位于顶盖层130的上方且被配置成提供早期警告以帮助防止顶盖层130被过量移除。在光刻工艺期间,刻蚀终止层140对所选择波长范围来说为透明。在一些实施例中,刻蚀终止层140被配置成反射波长为从约200nm到约350nm的光。在刻蚀剂是氯气与氧气的混合物的一些实施例中,刻蚀终止层140的刻蚀选择性(etch selectivity)对顶盖层130的刻蚀选择性的比率等于或小于1:20。在一些情形中,比率变大会在刻蚀工艺及/或清洁工艺期间提供不充足的工艺窗口(insufficient process window)。在顶盖层130包含钌的一些实施例中,刻蚀终止层140包含硅、硅化合物、或其它合适的的材料。在一些实施例中,刻蚀终止层的厚度介于从约2nm到约5nm范围内。在一些情形中,厚度变大会降低反射率。在一些情形中,厚度变小会在制作工艺期间提供不充足的耐化学性。
吸收体层150位于刻蚀终止层140的上方且被配置成在光刻工艺期间吸收所选择辐射。当暴露至所选择辐射时,具有吸收体层150的掩模图案表现为暗色调区(dark toneregion)(例如,不透明的光屏蔽区)。在光刻辐射为EUV的一些实施例中,吸收体层150包含例如氮化钽硼、氮氧化钽、或氮氧化钽硅等钽化合物。依据是否旨在移除刻蚀终止层140,吸收体层150的刻蚀选择性对刻蚀终止层140的刻蚀选择性的比率介于从约4:1到约6:1范围内。如果旨在移除刻蚀终止层140,则所述比率介于从约4:1到约5:1范围内。在一些情形中,从刻蚀终止层140的厚度方面来看,比率变小会在刻蚀工艺及/或清洁工艺期间提供不充足的工艺窗口。在一些情形中,比率变大会增加制造加工时间。如果旨在保留刻蚀终止层140,则所述比率介于从约5:1到约6:1范围内。在一些情形中,比率变小会提供不充足的工艺窗口。在一些实施例中,吸收体层150具有介于从约62nm到约70nm范围内的厚度。在一些情形中,厚度变大会增大反射性多层120的上方的应力,从而减少掩模的寿命。在一些情形中,厚度变小会提供不充足的吸收。在吸收体层150包含氮化钽硼的至少一个实施例中,吸收体层150的厚度约为66nm。
减反射涂布层160位于吸收体层150的上方且被配置成在制作掩模产品之后在光学显微镜检查工艺(optical microscope inspection process)期间减少辐射的反射。光学显微镜检查工艺是在宽的电磁频谱(electromagnetic spectrum)(例如,DUV)内操作。如此一来,减反射涂布层160能够在DUV检查期间减少反射。减反射涂布层160进一步被配置成用作硬掩模层,从而在制作工艺期间界定图像图案。考虑到化学化合物及其副产物的挥发性,减反射涂布层160及吸收体层150为具有相似化学组成的金属化合物。举例来说,在吸收体层150包含氮化钽硼的一些实施例中,减反射涂布层160包含氧化钽硼;在吸收体层150包含氮氧化钽的一些实施例中,减反射涂布层160包含氮化钽;在吸收体层150包含氮氧化钽硅的一些实施例中,减反射涂布层160包含钽硅。如此一来,与其他方式相比,减反射涂布层160的刻蚀后检查(after-etch inspection,AEI)的临界尺寸(critical dimension,CD)与吸收体层150的刻蚀后检查的临界尺寸之间的CD偏差减小且图案转移保真度(patterntransfer fidelity)提高。所属领域中的普通技术人员将理解,在一些实施例中,吸收体层150包含铬化合物或镍化合物。在一些实施例中,减反射涂布层160的厚度对吸收体层150的厚度的比率介于从约0.015到0.075范围内。在一些情形中,比率变大会增大在后续刻蚀工艺期间消耗整个减反射涂布层160的风险。在一些情形中,比率变小会在当刻蚀减反射涂布层时增加氧化物副产物,从而增大在吸收体堆叠中出现底切轮廓(undercut profile)的风险。在一些情形中,比率变小也会提供不充足的辐射吸收。减反射涂布层160具有介于从约1nm到约5nm范围内的厚度。在一些情形中,厚度变大会增加制造成本/时间且不提供显著优点。在一些情形中,厚度变小会降低辐射的反射。因此,减反射涂布层160比通过其他方式制造的减反射涂布层薄,因此在反射性多层120的上方施加较小的应力,由此既会减少表面变形又会增加掩模的寿命。
图2是根据一个或多个本发明实施例的制作反射式掩模的方法200的流程图。所属领域中的普通技术人员将理解,能够在图2中所绘示的方法200之前、期间、及/或之后执行其他操作。根据一些实施例,以下参照图3A至图3D提供制作工艺的其他细节。
方法200包括操作210,在操作210中,形成掩模底版(即,图1中的反射式掩模底版100),其中所述掩模底版具有硬掩模层(例如,图1中的减反射涂布层160)及吸收体层(例如,图1中的吸收体层150)。掩模底版进一步包括衬底(例如,图1中的衬底110)、多层(multilayer,ML)镜(例如,图1中的反射性多层120)、及顶盖层(例如,图1中的顶盖层130)。在一些实施例中,掩模底版进一步包括光学刻蚀终止层(例如,图1中的刻蚀终止层140)。在一些实施例中,所述形成掩模底版包括一系列沉积工艺。举例来说,包括:化学气相沉积(chemical vapor deposition,CVD),例如等离子体增强型化学气相沉积(plasma-enhanced CVD,PECVD)、高密度等离子体化学气相沉积(high density plasma CVD,HDPCVD)、低压化学气相沉积(low pressure CVD,LPCVD)、或金属有机气相外延(metal-organic vapor phase epitaxy,MOVPE);物理气相沉积(physical vapor deposition,PVD),例如磁控溅镀(magnetron sputtering)、脉冲激光沉积(pulse laser deposition)、或电子束蒸镀(e-beam evaporation);原子层沉积(atomic layer deposition,ALD);镀覆;或另一适合的工艺。
硬掩模层包含单一材料。使用与吸收体层相同的沉积工艺来形成硬掩模层。在一些实施例中,在与吸收体层相同的工艺室中沉积硬掩模层。在一些实施例中,在与用于沉积吸收体层的工艺室不同的工艺室中沉积硬掩模层。在一些实施例中,使用与其它层相同的沉积工艺来形成掩模底版中的每一层。在一些实施例中,使用与其它层不同的沉积工艺来形成掩模底版中的至少一个层。
与其他方式相比,刻蚀终止层有助于防止顶盖层损坏,在一些情形中,顶盖层损坏会在光刻工艺期间造成能量损耗。在掩模底版具有刻蚀终止层的一些实施例中,所述刻蚀终止层位于顶盖层与吸收体层之间。在掩模底版不含有刻蚀终止层的一些实施例中,直接在顶盖层的上方沉积吸收体层。在一些实施例中,出于静电卡持(electrostaticchucking)目的而在衬底背侧处另外沉积导电层。举例来说,静电卡盘带负电荷且掩模底版带正电荷。在制作工艺期间,此种相反的电荷提供用于将掩模底版保持到位的吸引力。
方法200继续到操作220,在操作220中,将硬掩模层图案化以界定图案图像。使用旋转涂布、浸渍涂布方法(dip coating method)、或气刀涂布方法(air knife coatingmethod)在硬掩模层的上方涂布感光性层(例如,光刻胶)以将所述硬掩模层图案化成具有集成电路图案。接下来,执行电子束或激光写入以界定图案图像。举例来说,电子束写入会提供入射在目标表面上的电束(electrical beam)并将感光性层中所含有的聚合物打碎成较小的碎片。接着从感光性层移除所述较小的碎片以在所述感光性层中界定开口。在一些实施例中,感光性层包含淬火剂(quencher)、光可降解碱(photo decomposable base,PDB)、及光酸产生剂(photo acid generator,PAG),所述光酸产生剂会在曝光工艺之后产生酸。淬火剂是用以中和酸以对曝光区域中的化学放大抗蚀剂(chemically amplifiedresist,CAR)进行淬火的碱分子。在一些实施例中,感光性层具有介于从约80nm到约120nm范围内的厚度。在一些情形中,厚度变大或厚度变小会降低图案图像的对比及分辨率。接下来,执行显影工艺(developing process)以使用显影剂(developer)选择性地移除暴露区或未暴露区以界定图案图像。
方法200继续到操作230,在操作230中,执行第一刻蚀工艺以移除硬掩模层的一部分。吸收体层在第一刻蚀工艺期间具有比硬掩模层相对高的刻蚀选择性,使得所述吸收体层几乎不被移除。第一刻蚀工艺包括湿刻蚀、干刻蚀(例如,电感耦合等离子体(inductively coupled plasma,ICP)刻蚀、反应离子刻蚀(reactive ion etching,RIE)刻蚀、及等离子体增强型(plasma enhanced,PE)刻蚀)、或其组合。在硬掩模层包含氧化钽硼或氮氧化钽硅的一些实施例中,使用包含四氟甲烷或四氯化碳的刻蚀剂执行ICP工艺。在一些实施例中,刻蚀剂包含仅一种刻蚀气体。与包含多种类型的刻蚀气体的其他方式相比,刻蚀气体类型的减少有助于防止感光性层在对硬掩模层进行的刻蚀期间损坏及/或硬化。在一些实施例中,刻蚀剂包含多于一种刻蚀气体,例如包含四氯化碳与氢气的混合物。在一些实施例中,将刻蚀剂与载气(carrier gas)(例如,氦气、氩气、或氮气)一起使用,以调整及/或维持反应压力及刻蚀剂浓度。在一些实施例中,刻蚀剂对载气的流动比率介于从约1:1到约1:10范围内。在一些情形中,流动比率变大会增大损坏感光性层的风险。在一些情形中,流动比率变小会延长加工时间且增加制造成本。在一些实施例中,在介于从约1毫托(milli-Torr,mT)到约10毫托范围内的压力下执行第一刻蚀工艺。在一些实施例中,ICP工艺的源功率(source power)介于从约200瓦(watt)到约300瓦范围内。与其他方式相比,由于硬掩模层(即,减反射涂布层)是掩模底版的最顶部层,因此方法200会避免至少一个图案转移步骤,从而使图案保真度提高且使影响图像图案的近接趋势(proximity trend)(即,目标CD与实际CD之间的差异)的刻蚀负载效应(etching loading effect)减轻。此外,与其他方式相比,后续的吸收体堆叠的侧壁实质上不含有氧化物。在其他方式中,氧化物会造成CD偏差及较差的图案保真度。因此,与其他方式相比,方法200会改善CD控制。
方法200继续到操作240,在操作240中,执行第二刻蚀工艺以移除由其余硬掩模层界定的吸收体层的一部分。与第一刻蚀工艺相比,硬掩模层在第二刻蚀工艺期间具有比吸收体层足够高的刻蚀选择性,使得在所述第二刻蚀工艺期间仅最少量的硬掩模层被移除。在一些实施例中,第二刻蚀工艺应用与第一刻蚀工艺相同类型的刻蚀工艺。第二刻蚀工艺的刻蚀剂与第一刻蚀工艺的刻蚀剂不同。在吸收体层包含氮化钽硼或钽硅的一些实施例中,使用氯气与氧气的混合物执行ICP工艺。在一些实施例中,刻蚀终止层的刻蚀选择性对吸收体层的刻蚀选择性的比率介于从约1到4到约1:6范围内。在一些情形中,当刻蚀终止层过薄时,比率变小会增大在第二刻蚀工艺期间出现过刻蚀(over-etch)的风险。在吸收体层包含氮化钽硼且刻蚀终止层包含硅的一些实施例中,所述两个层均是通过ICP工艺使用相同的刻蚀剂来移除。通过发射光谱(optical emission spectroscopy,OES)测量来监控ICP工艺,所述OES测量检测从对刻蚀终止层的移除产生的第一信号及与顶盖层相关的第二信号。在通过OES检测到第二信号的同时,终结ICP工艺。在刻蚀终止层包含氧化硅的至少一个实施例中,第二刻蚀工艺仅移除吸收体层且在暴露出氧化硅刻蚀终止层时终止。
在一些实施例中,修改方法200中各操作的次序。举例来说,使用第三刻蚀工艺移除刻蚀终止层的一部分,直到暴露出顶盖层的顶表面。在一些实施例中,方法200中包括其他操作。作为另一实例,在制作掩模底版之后、在第一刻蚀工艺之后、及/或在第二刻蚀工艺之后执行至少一个修复工艺(repairing process)。
图3A至图3D是根据一个或多个本发明实施例的反射式掩模301在各种制造阶段处的剖视图。反射式掩模301包括与掩模底版100相似的组件且相同组件的后两位数字是相同的。
图3A是根据一个或多个本发明实施例的反射式掩模301在操作220之后的剖视图。反射式掩模301包括衬底310、反射性多层320、顶盖层330、刻蚀终止层340、吸收体层350、及减反射涂布层360。在一些实施例中,减反射涂布层360具有介于从约1nm到约5nm范围内的厚度。在一些情形中,厚度变大会在刻蚀工艺期间增加氧化物副产物的形成。在一些情形中,厚度变小会提供不充足的入射辐射反射率。在减反射涂布层360包含氧化钽硼的一些实施例中,所述厚度介于从约2nm到3nm范围内。在一些情形中,在包括旋转涂布、软烘焙(softbaking)、对准(aligning)、曝光、曝光后烘焙(post exposure baking)、显影、及硬烘焙(hard baking)在内的一系列工艺之后,在减反射涂布层360的上方形成感光性层370。反射式掩模301进一步包括暗区372及亮区374,暗区372对应于其中吸收体层350及减反射涂布层360得到维持的区,亮区374被配置成在光刻工艺期间反射入射辐射。
在一些实施例中,感光性层370的厚度介于从约80nm到约120nm范围内。在一些情形中,厚度变大会增大电子束写入器(e-beam writer)的能量(剂量(dose))。在一些情形中,厚度变小会增大过曝现象(over exposure phenomenon)的风险。与其他方式相比,感光性层370较薄,使得感光性层370在曝光期间具有更均匀的深度轮廓,从而实现增强的图像分辨率。在至少一个实施例中,图像分辨率约为40nm。
图3B是根据一个或多个本发明实施例的反射式掩模301在操作230之后的剖视图。使用第一刻蚀工艺移除由暗区372界定的减反射涂布层360的一部分。如此一来,在第一刻蚀工艺之后,与亮区374对应的吸收体层350的一部分会暴露出。与其他方式相比,由于减反射涂布层360还充当硬掩模层,因此至少一个曝光工艺及一个刻蚀工艺被移除,由此有助于减少因在光刻工艺期间造成的颗粒引起的污染。另外,简化的工艺会提高CD平均值与目标值之差(mean to target,MTT),由此增强反射式掩模301的分辨率。
接下来,在图3C中,由于剩余的减反射涂布层360已被图案化且被配置成用作硬掩模层,因此不再需要感光性层370。在一些实施例中,使用湿剥除(wet stripping)或等离子体灰化(plasma ashing)从反射式掩模301移除感光性层370。
图3D是根据一个或多个本发明实施例的反射式掩模301在操作240之后的剖视图。使用第二刻蚀工艺移除由减反射涂布层360界定的暴露的吸收体层350。在一些实施例中,使用第三刻蚀工艺进一步移除位于吸收体层350的被移除部分的下方的刻蚀终止层340的一部分。在一些情形中,第二刻蚀工艺与第三刻蚀工艺相同。在一些情形中,第二刻蚀工艺与第三刻蚀工艺不同。此后,在反射性多层320的上方形成多个吸收体堆叠376。
与其他方式相比,相对较薄的减反射涂布层360有助于避免在吸收体层350处形成底切。另外,在其他方式中,氧化物副产物会在第一刻蚀工艺期间累积且沿吸收体堆叠376的侧壁贴合,由此降低第二刻蚀工艺的效率。因此,相对较薄的减反射涂布层360有助于减少氧化物副产物的产生,且当减反射涂布层360是由氧化钽硼制成时,吸收体堆叠376的侧壁实质上不含有氧化物(例如,氧化硼)。在至少一种情形中,通过沿每一个吸收体堆叠376在若干个点处测量多个吸收体堆叠376的宽度来计算吸收体堆叠376的侧壁的粗糙度。接着对所测量的宽度求平均,以获得平均特征宽度。所述粗糙度是由最大测量宽度与平均宽度之间的差来界定。在一些实施例中,由于吸收体层350的侧壁实质上不含有氧化物副产物,因此每一个吸收体堆叠376的侧壁粗糙度小于3nm,从而提高图像保真度。
图4A至图4B是根据一个或多个本发明实施例的反射式掩模401的剖视图。反射式掩模401包括与掩模底版100相似的组件且相同组件的后两位数字是相同的。与反射式掩模301相比,在图4A中,由于在光刻工艺期间刻蚀终止层440对所选择波长范围为透明,因此在第二刻蚀工艺之后刻蚀终止层440得到保持;在图4B中,在第二刻蚀工艺期间刻蚀终止层440被局部地移除。此外,在顶盖层430包含钌且反射性多层420的最顶部膜包含硅的一些实施例中,硅/钌/硅的组合有助于增大EUV辐射的反射率。
图5是根据一个或多个本发明实施例的反射式掩模501的剖视图。反射式掩模501包括与掩模底版100相似的组件且相同组件的后两位数字是相同的。与反射式掩模301相比,反射式掩模501不含有刻蚀终止层。
应理解,掩模底版100及反射式掩模301/401/501中的每一个均经历进一步的制作工艺流程以形成各种特征,例如护膜框架(pellicle frame)、护膜薄膜(pelliclemembrane)、薄化衬底等。
本发明实施例的一个方面涉及一种制作掩模底版的方法。所述方法包括:在衬底的上方沉积反射性多层;在反射性多层的上方沉积顶盖层;在顶盖层的上方沉积吸收体层;以及在吸收体层的上方沉积减反射涂布层。减反射涂布层是单一材料膜。在一些实施例中,在吸收体层的上方沉积减反射涂布层包括:将减反射涂布层沉积成使所述减反射涂布层的厚度对吸收体层的厚度的比率介于从约0.015到约0.075范围内。在一些实施例中,在吸收体层的上方沉积减反射涂布层包括:将减反射涂布层沉积至具有介于从约1nm到约5nm范围内的厚度。在一些实施例中,所述方法进一步包括:在顶盖层的上方沉积刻蚀终止层,其中所述刻蚀终止层的厚度介于从约2nm到约5nm范围内。在一些实施例中,沉积刻蚀终止层包括:将刻蚀终止层沉积成使所述刻蚀终止层的刻蚀选择性对顶盖层的刻蚀选择性的比率等于或小于1:20。
本发明实施例的另一方面涉及一种制造掩模版的方法。所述方法包括:在衬底的上方沉积吸收体层;在吸收体层的上方沉积硬掩模层;直接在硬掩模层的上方涂布光刻胶;以及将光刻胶图案化,以暴露出所述硬掩模层的一部分。硬掩模层包含钽。在一些实施例中,所述方法进一步包括:执行第一刻蚀工艺,以移除硬掩模层的暴露部分;剥除光刻胶;以及执行第二刻蚀工艺,以移除位于硬掩模层的被移除部分的下方的吸收体层的一部分。在一些实施例中,执行第一刻蚀工艺包括:使四氟甲烷与载气的混合物在硬掩模层的暴露部分上流动。在一些实施例中,执行第二刻蚀工艺包括:使用与第一刻蚀工艺的刻蚀剂不同的刻蚀剂。在一些实施例中,所述方法进一步包括:在衬底的上方沉积反射性多层;在反射性多层的上方沉积顶盖层;以及在顶盖层的上方沉积刻蚀终止层。刻蚀终止层的刻蚀选择性在第一刻蚀工艺期间大于吸收体层的刻蚀选择性且在第二刻蚀工艺期间小于顶盖层的刻蚀选择性。在一些实施例中,所述方法进一步包括:执行第三刻蚀工艺,以移除位于吸收体层的被移除部分的下方的刻蚀终止层的一部分。在一些实施例中,执行第三刻蚀工艺包括:使用与第二刻蚀工艺的刻蚀剂相同的刻蚀剂。在一些实施例中,执行第三刻蚀工艺包括:接收发射光谱测量,以检测终点。在一些实施例中,涂布光刻胶包括:在硬掩模层的上方涂布包括化学放大抗蚀剂的光刻胶,其中所述光刻胶的厚度介于从约80nm到约120nm范围内。
本发明实施例的又一方面涉及一种反射式光掩模。反射式光掩模包括:衬底;反射性多层,位于衬底的上方;以及多个吸收体堆叠,位于反射性多层的上方。所述多个吸收体堆叠中的每一个吸收体堆叠均具有刻蚀终止层、吸收体层及减反射涂布层,其中所述减反射涂布层的厚度对所述刻蚀终止层的厚度的比率介于从约1:1到约1:2.5范围内。在一些实施例中,所述多个吸收体堆叠中的每一个吸收体堆叠的侧壁粗糙度小于3nm。在一些实施例中,刻蚀终止层被配置成反射波长从约200nm到约350nm的光。在一些实施例中,刻蚀终止层的厚度介于从约1nm到约5nm范围内。在一些实施例中,减反射涂布层包含氧化钽硼且吸收体层包含氮化钽硼。在一些实施例中,吸收体堆叠的侧壁实质上不含有氧化硼。
以上概述了若干实施例的特征,以使所属领域中的技术人员可更好地理解本发明实施例的各个方面。所属领域中的技术人员应知,其可容易地使用本发明实施例作为设计或修改其他工艺及结构的基础来施行与本文中所介绍的实施例相同的目的及/或实现与本文中所介绍的实施例相同的优点。所属领域中的技术人员还应认识到,这些等效构造并不背离本发明实施例的精神及范围,而且他们可在不背离本发明实施例的精神及范围的条件下对其作出各种改变、代替、及变更。

Claims (20)

1.一种制作掩模底版的方法,其特征在于,所述方法包括:
在衬底的上方沉积反射性多层;
在所述反射性多层的上方沉积顶盖层;
在所述顶盖层的上方沉积刻蚀终止层;
在所述刻蚀终止层的上方沉积吸收体层,所述吸收体层的刻蚀选择性对所述刻蚀终止层的刻蚀选择性的比率介于从4:1到6:1范围内;以及
在所述吸收体层的上方沉积减反射涂布层以形成具有所述刻蚀终止层、所述吸收体层及所述减反射涂布层的吸收体堆叠,所述吸收体堆叠的侧壁粗糙度小于3 nm,其中所述减反射涂布层是单一材料膜,其中所述吸收体层的材料选自氮氧化钽和氮氧化钽硅,所述减反射涂布层的材料选自氮化钽和钽硅。
2.根据权利要求1所述的方法,其特征在于,所述在所述吸收体层的上方沉积所述减反射涂布层包括:
将所述减反射涂布层沉积成使所述减反射涂布层的厚度对所述吸收体层的厚度的比率介于从0.015到0.075范围内。
3.根据权利要求1所述的方法,其特征在于,所述在所述吸收体层的上方沉积所述减反射涂布层包括:
将所述减反射涂布层沉积至具有介于从1 nm到5 nm范围内的厚度。
4.根据权利要求1所述的方法,其特征在于,其中所述刻蚀终止层的厚度介于从2 nm到5 nm范围内。
5.根据权利要求1所述的方法,其特征在于,所述沉积所述刻蚀终止层包括:
将所述刻蚀终止层沉积成使所述刻蚀终止层的刻蚀选择性对所述顶盖层的刻蚀选择性的比率等于或小于1:20。
6.一种制造掩模版的方法,其特征在于,所述方法包括:
在衬底的上方沉积吸收体层;
在所述吸收体层的上方沉积硬掩模层,其中所述硬掩模层包含钽;
直接在所述硬掩模层的上方涂布光刻胶;
将所述光刻胶图案化,以暴露出所述硬掩模层的一部分;
执行第一刻蚀工艺,以移除所述硬掩模层的所述暴露部分;
执行第二刻蚀工艺,以移除位于所述硬掩模层的被移除部分的下方的所述吸收体层的一部分;以及
执行第三刻蚀工艺,以部分地移除位于所述吸收体层的所述被移除部分的下方的刻蚀终止层的一部分以形成具有所述刻蚀终止层、所述吸收体层及所述硬掩模层的吸收体堆叠,所述吸收体堆叠的侧壁粗糙度小于3 nm,所述吸收体层的刻蚀选择性对所述刻蚀终止层的刻蚀选择性的比率介于从4:1到6:1范围内,其中所述吸收体层的材料选自氮氧化钽和氮氧化钽硅,所述硬掩模层的材料选自氮化钽和钽硅。
7.根据权利要求6所述的方法,其特征在于,进一步包括:
在第一刻蚀工艺后,剥除所述光刻胶。
8.根据权利要求6所述的方法,其特征在于,所述执行所述第一刻蚀工艺包括:
使四氟甲烷与载气的混合物在所述硬掩模层的所述暴露部分上流动。
9.根据权利要求6所述的方法,其特征在于,所述执行所述第二刻蚀工艺包括:
使用与所述第一刻蚀工艺的刻蚀剂不同的刻蚀剂。
10.根据权利要求7所述的方法,其特征在于,进一步包括:
在所述衬底的上方沉积反射性多层;
在所述反射性多层的上方沉积顶盖层;以及
在所述顶盖层的上方沉积所述刻蚀终止层,其中所述刻蚀终止层的刻蚀选择性在所述第一刻蚀工艺期间大于所述吸收体层的刻蚀选择性且在所述第二刻蚀工艺期间小于所述顶盖层的刻蚀选择性。
11.根据权利要求10所述的方法,其特征在于,其中所述第三刻蚀工艺没有暴露出所述顶盖层。
12.根据权利要求6所述的方法,其特征在于,所述执行所述第三刻蚀工艺包括:
使用与所述第二刻蚀工艺的刻蚀剂相同的刻蚀剂。
13.根据权利要求6所述的方法,其特征在于,所述执行所述第三刻蚀工艺包括:
接收发射光谱测量,以检测终点。
14.根据权利要求6所述的方法,其特征在于,所述涂布所述光刻胶包括:
在所述硬掩模层的上方涂布包括化学放大抗蚀剂的光刻胶,其中所述光刻胶的厚度介于从80 nm到120 nm范围内。
15.一种反射式光掩模,其特征在于,包括:
衬底;
反射性多层,位于所述衬底的上方;以及
多个吸收体堆叠,位于所述反射性多层的上方,其中所述多个吸收体堆叠中的每一个吸收体堆叠均具有刻蚀终止层、吸收体层及减反射涂布层,其中所述减反射涂布层的厚度对所述刻蚀终止层的厚度的比率介于从1:1到1:2.5范围内,所述吸收体层的刻蚀选择性对所述刻蚀终止层的刻蚀选择性的比率介于从4:1到6:1范围内,所述多个吸收体堆叠中的每一个吸收体堆叠的侧壁粗糙度小于3 nm,其中所述吸收体层的材料选自氮氧化钽和氮氧化钽硅,所述减反射涂布层的材料选自氮化钽和钽硅。
16.根据权利要求15所述的反射式光掩模,其特征在于,所述刻蚀终止层被配置成反射波长从200 nm到350 nm的光。
17.根据权利要求15所述的反射式光掩模,其特征在于,所述刻蚀终止层的厚度介于从1 nm到5 nm范围内。
18.根据权利要求15所述的反射式光掩模,其特征在于,所述减反射涂布层包含氧化钽硼且所述吸收体层包含氮化钽硼。
19.根据权利要求15所述的反射式光掩模,其特征在于,所述多个吸收体堆叠中的每一个的侧壁实质上不含有氧化硼。
20.根据权利要求15所述的反射式光掩模,其特征在于,进一步包括:
顶盖层,位于所述反射性多层的上方,其中所述顶盖层位于所述多个吸收体堆叠与所述反射性多层之间。
CN201711085885.XA 2017-08-22 2017-11-07 反射式光掩模及其制作方法 Active CN109426065B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/682,849 2017-08-22
US15/682,849 US10553428B2 (en) 2017-08-22 2017-08-22 Reflection mode photomask and fabrication method therefore

Publications (2)

Publication Number Publication Date
CN109426065A CN109426065A (zh) 2019-03-05
CN109426065B true CN109426065B (zh) 2023-02-03

Family

ID=65436097

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711085885.XA Active CN109426065B (zh) 2017-08-22 2017-11-07 反射式光掩模及其制作方法

Country Status (3)

Country Link
US (4) US10553428B2 (zh)
CN (1) CN109426065B (zh)
TW (1) TWI710004B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10553428B2 (en) * 2017-08-22 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflection mode photomask and fabrication method therefore
KR20220022474A (ko) * 2019-06-20 2022-02-25 호야 가부시키가이샤 반사형 마스크 블랭크, 반사형 마스크, 그리고 반사형 마스크 및 반도체 장치의 제조 방법
US11300871B2 (en) * 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410193B1 (en) * 1999-12-30 2002-06-25 Intel Corporation Method and apparatus for a reflective mask that is inspected at a first wavelength and exposed during semiconductor manufacturing at a second wavelength
JP4540267B2 (ja) * 2001-07-30 2010-09-08 Hoya株式会社 Euv光露光用反射型マスクブランクおよびeuv光露光用反射型マスク
US6673520B2 (en) * 2001-08-24 2004-01-06 Motorola, Inc. Method of making an integrated circuit using a reflective mask
US7390596B2 (en) * 2002-04-11 2008-06-24 Hoya Corporation Reflection type mask blank and reflection type mask and production methods for them
KR100455383B1 (ko) * 2002-04-18 2004-11-06 삼성전자주식회사 반사 포토마스크, 반사 포토마스크의 제조방법 및 이를이용한 집적회로 제조방법
JP4693395B2 (ja) * 2004-02-19 2011-06-01 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
KR100735531B1 (ko) * 2006-03-21 2007-07-04 삼성전자주식회사 보상 패턴을 포함하는 반사형 포토마스크와 그 제조방법 및반사형 블랭크 포토마스크
JP5018212B2 (ja) * 2007-04-26 2012-09-05 凸版印刷株式会社 反射型フォトマスクブランク及び反射型フォトマスク並びに半導体装置の製造方法
DE102007028800B4 (de) * 2007-06-22 2016-11-03 Advanced Mask Technology Center Gmbh & Co. Kg Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske
JP4465405B2 (ja) * 2008-02-27 2010-05-19 Hoya株式会社 フォトマスクブランクおよびフォトマスク並びにこれらの製造方法
JP5345333B2 (ja) 2008-03-31 2013-11-20 Hoya株式会社 フォトマスクブランク、フォトマスク及びその製造方法
JP5507876B2 (ja) * 2009-04-15 2014-05-28 Hoya株式会社 反射型マスクブランク及び反射型マスクの製造方法
JP2011197375A (ja) * 2010-03-19 2011-10-06 Dainippon Printing Co Ltd 反射型マスクの製造方法および該製造に用いられる反射型マスクブランク
DE102010025033B4 (de) * 2010-06-23 2021-02-11 Carl Zeiss Smt Gmbh Verfahren zur Defekterkennung und Reparatur von EUV-Masken
KR20140004101A (ko) * 2011-02-01 2014-01-10 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크
KR101908168B1 (ko) * 2011-09-01 2018-10-15 에이지씨 가부시키가이샤 반사형 마스크 블랭크, 반사형 마스크 블랭크의 제조 방법, 및 반사형 마스크 블랭크의 품질 관리 방법
WO2013046627A1 (ja) * 2011-09-28 2013-04-04 凸版印刷株式会社 反射型露光用マスクブランクおよび反射型露光用マスク
JPWO2013077430A1 (ja) * 2011-11-25 2015-04-27 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクおよびその製造方法
US8900469B2 (en) * 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
WO2014050891A1 (ja) * 2012-09-28 2014-04-03 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクおよびその製造方法、ならびにeuvリソグラフィ用反射型マスクおよびその製造方法
US8778574B2 (en) * 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
JP2014127630A (ja) * 2012-12-27 2014-07-07 Asahi Glass Co Ltd Euvリソグラフィ用反射型マスクブランクおよびその製造方法
US9250514B2 (en) * 2013-03-11 2016-02-02 Applied Materials, Inc. Apparatus and methods for fabricating a photomask substrate for EUV applications
US8911920B2 (en) * 2013-03-15 2014-12-16 GlobalFoundries, Inc. Methods for fabricating EUV masks and methods for fabricating integrated circuits using such EUV masks
US9046781B2 (en) 2013-03-15 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for reflective-type mask
JP2015109366A (ja) * 2013-12-05 2015-06-11 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク若しくはeuvリソグラフィ用の反射層付基板、およびその製造方法
KR20150066966A (ko) * 2013-12-09 2015-06-17 삼성전자주식회사 포토마스크, 포토마스크의 에러 보정 방법, 포토마스크를 이용하여 제조된 집적회로 소자 및 그 제조 방법
US9195132B2 (en) * 2014-01-30 2015-11-24 Globalfoundries Inc. Mask structures and methods of manufacturing
KR20160002332A (ko) * 2014-06-30 2016-01-07 주식회사 에스앤에스텍 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
DE102014217907B4 (de) * 2014-09-08 2018-12-20 Carl Zeiss Smt Gmbh Verfahren zum Herstellen einer Maske für den extrem ultra-violetten Wellenlängenbereich und Maske
US10274819B2 (en) * 2015-02-05 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. EUV pellicle fabrication methods and structures thereof
JP6815995B2 (ja) * 2015-06-17 2021-01-20 Hoya株式会社 導電膜付き基板、多層反射膜付き基板、反射型マスクブランク、反射型マスク及び半導体装置の製造方法
US9740094B2 (en) 2015-08-21 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Damage prevention on EUV mask
US10241390B2 (en) * 2016-02-24 2019-03-26 AGC Inc. Reflective mask blank and process for producing the reflective mask blank
US10553428B2 (en) * 2017-08-22 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflection mode photomask and fabrication method therefore

Also Published As

Publication number Publication date
CN109426065A (zh) 2019-03-05
TWI710004B (zh) 2020-11-11
US11270884B2 (en) 2022-03-08
US20200161132A1 (en) 2020-05-21
US20230360914A1 (en) 2023-11-09
US10553428B2 (en) 2020-02-04
TW201913722A (zh) 2019-04-01
US11735421B2 (en) 2023-08-22
US20190067007A1 (en) 2019-02-28
US20220165572A1 (en) 2022-05-26

Similar Documents

Publication Publication Date Title
US6583068B2 (en) Enhanced inspection of extreme ultraviolet mask
US11735421B2 (en) Reflection mode photomask and method of making
CN111948897B (zh) 用于光刻工艺的辅助部件
US8900469B2 (en) Etch rate detection for anti-reflective coating layer and absorber layer etching
TWI720357B (zh) 半導體裝置的製造方法
US20140038088A1 (en) Method of Fabricating a Lithography Mask
US20030039922A1 (en) Method of making an integrated circuit using a reflective mask
US6905801B2 (en) High performance EUV mask
TWI753273B (zh) 極紫外光微影光罩及其製造方法
US11402745B2 (en) Mask for EUV lithography and method of manufacturing the same
US8795931B2 (en) Reflection-type photomasks and methods of fabricating the same
CN112305856B (zh) 极紫外光微影光罩与图案化半导体晶圆的方法
US8808559B2 (en) Etch rate detection for reflective multi-material layers etching
CN110658676B (zh) 极紫外光微影光罩及其制造方法
KR100735530B1 (ko) 단차를 가진 반사층을 포함하는 반사형 포토마스크 및 그 제조방법
US11448956B2 (en) EUV mask
US8673521B2 (en) Blank substrates for extreme ultra violet photo masks and methods of fabricating an extreme ultra violet photo mask using the same
JP2012033608A (ja) Euv露光用反射型マスクの製造方法
KR20080039132A (ko) Euv 노광 공정용 마스크 및 그 제조방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant