TW201913722A - 反射式光罩及其製作方法 - Google Patents
反射式光罩及其製作方法 Download PDFInfo
- Publication number
- TW201913722A TW201913722A TW106135972A TW106135972A TW201913722A TW 201913722 A TW201913722 A TW 201913722A TW 106135972 A TW106135972 A TW 106135972A TW 106135972 A TW106135972 A TW 106135972A TW 201913722 A TW201913722 A TW 201913722A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- absorber
- depositing
- etch stop
- reflective
- Prior art date
Links
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 27
- 239000006096 absorbing agent Substances 0.000 claims abstract description 85
- 239000000758 substrate Substances 0.000 claims abstract description 51
- 238000000151 deposition Methods 0.000 claims abstract description 36
- 239000000463 material Substances 0.000 claims abstract description 13
- 239000010410 layer Substances 0.000 claims description 249
- 238000000034 method Methods 0.000 claims description 138
- 238000005530 etching Methods 0.000 claims description 59
- 239000011247 coating layer Substances 0.000 claims description 37
- 229920002120 photoresistant polymer Polymers 0.000 claims description 14
- 229910052582 BN Inorganic materials 0.000 claims description 7
- XTDAIYZKROTZLD-UHFFFAOYSA-N boranylidynetantalum Chemical compound [Ta]#B XTDAIYZKROTZLD-UHFFFAOYSA-N 0.000 claims description 7
- 229910052715 tantalum Inorganic materials 0.000 claims description 7
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 7
- SLYSCVGKSGZCPI-UHFFFAOYSA-N [B]=O.[Ta] Chemical compound [B]=O.[Ta] SLYSCVGKSGZCPI-UHFFFAOYSA-N 0.000 claims description 6
- 239000011248 coating agent Substances 0.000 claims description 6
- 238000000576 coating method Methods 0.000 claims description 6
- 239000000203 mixture Substances 0.000 claims description 6
- 239000012159 carrier gas Substances 0.000 claims description 4
- 229910052810 boron oxide Inorganic materials 0.000 claims description 3
- JKWMSGQKBLHBQQ-UHFFFAOYSA-N diboron trioxide Chemical compound O=BOB=O JKWMSGQKBLHBQQ-UHFFFAOYSA-N 0.000 claims description 3
- 238000005259 measurement Methods 0.000 claims description 3
- 238000000059 patterning Methods 0.000 claims description 3
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims description 3
- 238000000295 emission spectrum Methods 0.000 claims description 2
- 239000006117 anti-reflective coating Substances 0.000 abstract description 25
- 230000005855 radiation Effects 0.000 description 24
- 238000001459 lithography Methods 0.000 description 14
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- 229910052710 silicon Inorganic materials 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- 238000009616 inductively coupled plasma Methods 0.000 description 7
- 230000003287 optical effect Effects 0.000 description 7
- 238000002310 reflectometry Methods 0.000 description 7
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 6
- 239000006227 byproduct Substances 0.000 description 6
- 229910052707 ruthenium Inorganic materials 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 238000013459 approach Methods 0.000 description 5
- 230000001965 increasing effect Effects 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- 235000012431 wafers Nutrition 0.000 description 5
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 4
- 230000002745 absorbent Effects 0.000 description 4
- 239000002250 absorbent Substances 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- 238000007689 inspection Methods 0.000 description 4
- 229910052750 molybdenum Inorganic materials 0.000 description 4
- 239000011733 molybdenum Substances 0.000 description 4
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 4
- 238000010521 absorption reaction Methods 0.000 description 3
- 239000002253 acid Substances 0.000 description 3
- 238000001636 atomic emission spectroscopy Methods 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 230000003247 decreasing effect Effects 0.000 description 3
- 238000010894 electron beam technology Methods 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 150000003377 silicon compounds Chemical class 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 238000001755 magnetron sputter deposition Methods 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- WGLPBDUCMAPZCE-UHFFFAOYSA-N Trioxochromium Chemical compound O=[Cr](=O)=O WGLPBDUCMAPZCE-UHFFFAOYSA-N 0.000 description 1
- 238000007754 air knife coating Methods 0.000 description 1
- 239000003513 alkali Substances 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 239000002585 base Substances 0.000 description 1
- 229910052790 beryllium Inorganic materials 0.000 description 1
- ATBAMAFKBVZNFJ-UHFFFAOYSA-N beryllium atom Chemical compound [Be] ATBAMAFKBVZNFJ-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 150000001845 chromium compounds Chemical class 0.000 description 1
- 229910000423 chromium oxide Inorganic materials 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000003618 dip coating Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 239000005350 fused silica glass Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 150000002736 metal compounds Chemical class 0.000 description 1
- 239000005078 molybdenum compound Substances 0.000 description 1
- 150000002752 molybdenum compounds Chemical class 0.000 description 1
- 150000002816 nickel compounds Chemical class 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 238000000399 optical microscopy Methods 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 230000010363 phase shift Effects 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 238000010791 quenching Methods 0.000 description 1
- 150000003304 ruthenium compounds Chemical class 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 150000003482 tantalum compounds Chemical class 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 238000000927 vapour-phase epitaxy Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/22—Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
- G03F1/24—Reflection masks; Preparation thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/38—Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
- G03F1/46—Antireflective coatings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
- H01L21/0276—Photolithographic processes using an anti-reflective coating
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Abstract
一種製作罩幕基底的方法包括在基底的上方沉積反射性多層;在所述反射性多層的上方沉積頂蓋層;在所述頂蓋層的上方沉積吸收體層;以及在所述吸收體層的上方沉積抗反射塗佈層。所述抗反射塗佈層是單一材料膜。
Description
微影(Lithography)用於在半導體元件的製作過程中將圖案轉移到晶圓上。基於各種積體電路(integrated circuit,IC)佈局,將罩幕的圖案減小為2:1或4:1的因數以將所述圖案轉移到晶圓的表面。罩幕(也被稱作罩幕版(reticle))是由一側上沉積有一種或多種不透明材料以阻擋微影輻射(lithographic radiation)滲透的基底所製成。配置亮區(clear region)配置成反射或傳輸微影輻射。隨著尺寸的減小及IC晶片中的密度的增大,已開發出例如極紫外(extreme ultraviolet,EUV)曝光、相移罩幕(phase-shift mask,PSM)、光學近接修正(optical proximity correction ,OPC)、離軸照明(off-axis illumination,OAI)及雙偶極微影(double dipole lithography,DDL)等分辨率增強技術來提高焦點深度(depth of focus,DOF)以使得能夠更精確地將圖案轉移到晶圓上。
以下公開內容提供用於實作所提供主題的不同特徵的許多不同的實施例或實例。以下闡述部件、值、操作、材料、排列等的具體實例以簡化本發明實施例。當然,這些僅為實例且不旨在進行限制。預期存在其他部件、值、操作、材料、排列等。例如,以下說明中將第一特徵形成在第二特徵“的上方”或第二特徵“上”可包括其中第一特徵及第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有附加特徵、進而使得所述第一特徵與所述第二特徵可能不直接接觸的實施例。另外,本公開內容可能在各種實例中重複使用參考編號及/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如“的下方(beneath)”、“下面(below)”、“下部的(lower)”、“上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示的一個組件或特徵與另一(其他)組件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括元件在使用或操作中的不同取向。
按比例縮減製程(scaling down process)一般來說透過提高生產效率且降低相關成本來提供效益。然而,由於半導體元件特徵大小已減小成小於在微影製程中使用的輻射的波長,因此製造最小特徵大小(minimum feature size)(也被稱作臨界尺寸(critical dimension,CD))的能力變得對穿過罩幕或罩幕版的光的光學邊緣現象(optical fringing)更加敏感。為推進實現對此種最小特徵大小的製作需求,將執行較高分辨率微影製程。反射式微影使用例如極紫外(EUV)、X射線(x-ray)、雷射(laser)、離子束(ion beam)、或電子束(electron beam,e-beam)等輻射源。反射式微影使用由罩幕或罩幕版所反射的光將晶圓圖案化。
以下說明與EUV微影相關;然而,所屬領域中的普通技術人員將意識到不同的光波長也適用於此說明。 EUV微影採用使用EUV波長范圍約為13.5奈米(nm)的光的輻射源。在一些實施例中,與一些光學掃描器相似,EUV掃描器提供4倍減小投影印刷(4x reduction projection printing),但所述掃描器使用反射性光學元件而非折射性光學元件(即,使用鏡而非透鏡)。在罩幕製作製程期間,與不透明光屏蔽區對應的多個吸收體堆疊中的每一個均包括吸收體層及減反射塗佈(anti-reflective coating,ARC)層,所述吸收體層被配置成吸收投影在晶圓上的處於預定波長的輻射,所述抗反射塗佈層被配置成幫助防止所反射的頻帶外輻射(out-of-band radiation)被吸收。
抗反射塗佈層還被配置成充當硬罩幕層(也被稱作自罩幕層(self-mask layer))。具體來說,抗反射塗佈層的厚度對吸收體層的厚度的比率介於從約0.015到約0.075範圍內。抗反射塗佈層的厚度介於從約1 nm到約5 nm範圍內。在一些情形中,抗反射塗佈層的厚度與吸收體層的厚度之間的比率的變大或變小將降低圖案圖像的對比及分辨率。在一些方式中,罩幕不含有位於吸收體層的上方的含鉻硬罩幕層。因此,直接將光阻塗佈在抗反射塗佈層的最頂部的頂表面的上方以界定圖像圖案。如此一來,製作製程會減少至少一個圖案轉移步驟,此有助於減少最終罩幕產品中的污染物及/或顆粒,且會在後續製程期間節省相關聯成本及時間。因此,與其他方式相比,製作製程時間及成本得到減少。在一些方式中,在頂蓋層的上方沉積蝕刻終止層以幫助保護所述頂蓋層免於在一系列蝕刻及清潔製程(cleaning process)期間受到損壞。如此一來,與其他方式相比,頂蓋層具有更均勻(more uniform)的表面,由此減少在微影製程期間由罩幕所反射的輻射的能量損耗。
圖1是根據一個或多個本發明實施例的反射式罩幕基底100的剖視圖。反射式罩幕基底100包括基底110、反射性多層(reflective multilayer)120、頂蓋層130、蝕刻終止層140、吸收體層150、及抗反射塗佈層160。反射式罩幕基底100被配置成反射具有預定波長范圍的輻射,例如深紫外(deep ultraviolet,DUV)(從約100 nm到約300 nm)或極紫外(從約13.2 nm到約13.8 nm)。在一些實施例中,基底110包含例如摻雜鈦的二氧化矽等低熱膨脹(low thermal expansion,LTE)材料。在一些實施例中,基底110包含LTE玻璃、熔融石英(fused quartz)、碳化矽、黑金剛石(carbonado)、或其它合適的材料。在一些實施例中,基底110具有介於從約6.3毫米(mm)到約6.7 mm範圍內的厚度。在一些情形中,厚度變大會增加製造成本且不提供顯著效益。在一些情形中,厚度變小會增大反射式罩幕基底100變形的風險。反射性多層120位於基底110的上方且包括多個交替的層,所述多個交替的層對輻射散射(radiation scattering)具有相對高的折射率且對輻射傳輸(radiation transmitting)具有相對低的折射率。將這兩種類型的材料配對於一起會提供共振反射率(resonant reflectivity)。在一些實施例中,反射性多層120包括多個鉬/矽對(例如,在每一對中,鉬層位於矽層上方或下方)或多個鉬化合物/矽化合物對。在一些實施例中,反射性多層120包含多個鉬/鈹對或具有折射率差(refractive index difference)以對所選擇輻射具有高的反射率(例如,從約60%到約70%)的其它合適的材料。在一些實施例中,反射性多層120中的每一層均具有從約4 nm到約8 nm的厚度,總厚度約為300 nm。所述厚度被調整成實現在每一界面處衍射的所選擇輻射的最大相長干擾(constructive interference)以及所述所選擇輻射的最小吸收。在一些情形中,厚度變大或變小會降低反射率。在一些實施例中,反射性多層120包括從約20對到約70對的層。在一些情形中,對的數目變小會降低反射率。在一些情形中,對的數目變大會提高接觸異物顆粒及/或出現缺陷的可能性。在反射性多層120包含鉬及矽的一些實施例中,對的數目為40。在至少一個實施例中,基底110進一步包括位於與反射性多層120相反的一側上的背側塗佈層(圖中未示出)。在一些情形中,背側塗佈層為金屬膜或多晶矽膜。
頂蓋層130位於反射性多層120的上方且被配置成用作保護層以幫助防止反射性多層120在圖案化製程(patterning process)及/或修理製程(repairing process)期間被移除。在微影製程期間,頂蓋層130對所選擇波長范圍來說為透明。舉例來說,釕對EUV輻射透明。在一些實施例中,頂蓋層130有助於延長罩幕的壽命。在一些實施例中,頂蓋層130有助於防止反射性多層120氧化。在一些實施例中,頂蓋層130包含釕、釕化合物、矽化合物、氧化鉻、其組合、或其它合適的的材料。在頂蓋層130包含釕的一些實施例中,頂蓋層130的厚度介於從約2 nm到約4 nm範圍內。在一些情形中,厚度變大會降低反射率。在一些情形中,厚度變小會在製作製程期間提供不充足的耐化學性(insufficient chemical resistance)。在各種實施例中,頂蓋層130也被稱作緩衝層(buffer layer)。
蝕刻終止層140位於頂蓋層130的上方且被配置成提供早期警告以幫助防止頂蓋層130被過量移除。在微影製程期間,蝕刻終止層140對所選擇波長范圍來說為透明。在一些實施例中,蝕刻終止層140被配置成反射波長為從約200 nm到約350 nm的光。在蝕刻劑是氯氣與氧氣的混合物的一些實施例中,蝕刻終止層140的蝕刻選擇性(etch selectivity)對頂蓋層130的蝕刻選擇性的比率等於或小於1:20。在一些情形中,比率變大會在蝕刻製程及/或清潔製程期間提供不充足的製程窗口(insufficient process window)。在頂蓋層130包含釕的一些實施例中,蝕刻終止層140包含矽、矽化合物、或其它合適的的材料。在一些實施例中,蝕刻終止層的厚度介於從約2 nm到約5 nm範圍內。在一些情形中,厚度變大會降低反射率。在一些情形中,厚度變小會在製作製程期間提供不充足的耐化學性。
吸收體層150位於蝕刻終止層140的上方且被配置成在微影製程期間吸收所選擇輻射。當暴露至所選擇輻射時,具有吸收體層150的罩幕圖案表現為暗色調區(dark tone region)(例如,不透明的光屏蔽區)。在微影輻射為EUV的一些實施例中,吸收體層150包含例如氮化鉭硼、氮氧化鉭、或氮氧化鉭矽等鉭化合物。依據是否旨在移除蝕刻終止層140,吸收體層150的蝕刻選擇性對蝕刻終止層140的蝕刻選擇性的比率介於從約4:1到約6:1範圍內。如果旨在移除蝕刻終止層140,則所述比率介於從約4:1到約5:1範圍內。在一些情形中,從蝕刻終止層140的厚度方面來看,比率變小會在蝕刻製程及/或清潔製程期間提供不充足的製程窗口。在一些情形中,比率變大會增加製造加工時間。如果旨在保留蝕刻終止層140,則所述比率介於從約5:1到約6:1範圍內。在一些情形中,比率變小會提供不充足的製程窗口。在一些實施例中,吸收體層150具有介於從約62 nm到約70 nm範圍內的厚度。在一些情形中,厚度變大會增大反射性多層120的上方的應力,從而減少罩幕的壽命。在一些情形中,厚度變小會提供不充足的吸收。在吸收體層150包含氮化鉭硼的至少一個實施例中,吸收體層150的厚度約為66 nm。
抗反射塗佈層160位於吸收體層150的上方且被配置成在製作罩幕產品之後在光學顯微鏡檢查製程(optical microscope inspection process)期間減少輻射的反射。光學顯微鏡檢查製程是在寬的電磁頻譜(electromagnetic spectrum)(例如,DUV)內操作。如此一來,抗反射塗佈層160能夠在DUV檢查期間減少反射。抗反射塗佈層160進一步被配置成用作硬罩幕層,從而在製作製程期間界定圖像圖案。考慮到化學化合物及其副產物的揮發性,抗反射塗佈層160及吸收體層150為具有相似化學組成的金屬化合物。舉例來說,在吸收體層150包含氮化鉭硼的一些實施例中,抗反射塗佈層160包含氧化鉭硼;在吸收體層150包含氮氧化鉭的一些實施例中,抗反射塗佈層160包含氮化鉭;在吸收體層150包含氮氧化鉭矽的一些實施例中,抗反射塗佈層160包含鉭矽。如此一來,與其他方式相比,抗反射塗佈層160的蝕刻後檢查(after-etch inspection,AEI)的臨界尺寸(critical dimension,CD)與吸收體層150的蝕刻後檢查的臨界尺寸之間的CD偏差減小且圖案轉移保真度(pattern transfer fidelity)提高。所屬領域中的普通技術人員將理解,在一些實施例中,吸收體層150包含鉻化合物或鎳化合物。在一些實施例中,抗反射塗佈層160的厚度對吸收體層150的厚度的比率介於從約0.015到0.075範圍內。在一些情形中,比率變大會增大在後續蝕刻製程期間消耗整個抗反射塗佈層160的風險。在一些情形中,比率變小會在當蝕刻抗反射塗佈層時增加氧化物副產物,從而增大在吸收體堆疊中出現底切輪廓(undercut profile)的風險。在一些情形中,比率變小也會提供不充足的輻射吸收。抗反射塗佈層160具有介於從約1 nm到約5 nm範圍內的厚度。在一些情形中,厚度變大會增加製造成本/時間且不提供顯著優點。在一些情形中,厚度變小會降低輻射的反射。因此,抗反射塗佈層160比透過其他方式製造的抗反射塗佈層薄,因此在反射性多層120的上方施加較小的應力,由此既會減少表面變形又會增加罩幕的壽命。
圖2是根據一個或多個本發明實施例的製作反射式罩幕的方法200的流程圖。所屬領域中的普通技術人員將理解,能夠在圖2中所繪示的方法200之前、期間、及/或之後執行其他操作。根據一些實施例,以下參照圖3A至圖3D提供製作製程的其他細節。
方法200包括操作210,在操作210中,形成罩幕基底(即,圖1中的反射式罩幕基底100),其中所述罩幕基底具有硬罩幕層(例如,圖1中的抗反射塗佈層160)及吸收體層(例如,圖1中的吸收體層150)。罩幕基底進一步包括基底(例如,圖1中的基底110)、多層(multilayer,ML)鏡(例如,圖1中的反射性多層120)、及頂蓋層(例如,圖1中的頂蓋層130)。在一些實施例中,罩幕基底進一步包括光學蝕刻終止層(例如,圖1中的蝕刻終止層140)。在一些實施例中,所述形成罩幕基底包括一系列沉積製程。舉例來說,包括:化學氣相沉積(chemical vapor deposition,CVD),例如等離子體增強型化學氣相沉積(plasma-enhanced CVD,PECVD)、高密度等離子體化學氣相沉積(high density plasma CVD,HDPCVD)、低壓化學氣相沉積(low pressure CVD,LPCVD)、或金屬有機氣相外延(metal-organic vapor phase epitaxy,MOVPE);物理氣相沉積(physical vapor deposition,PVD),例如磁控濺鍍(magnetron sputtering)、脈衝雷射沉積(pulse laser deposition)、或電子束蒸鍍(e-beam evaporation);原子層沉積(atomic layer deposition,ALD);鍍覆;或另一適合的製程。
硬罩幕層包含單一材料。使用與吸收體層相同的沉積製程來形成硬罩幕層。在一些實施例中,在與吸收體層相同的製程室中沉積硬罩幕層。在一些實施例中,在與用於沉積吸收體層的製程室不同的製程室中沉積硬罩幕層。在一些實施例中,使用與其它層相同的沉積製程來形成罩幕基底中的每一層。在一些實施例中,使用與其它層不同的沉積製程來形成罩幕基底中的至少一個層。
與其他方式相比,蝕刻終止層有助於防止頂蓋層損壞,在一些情形中,頂蓋層損壞會在微影製程期間造成能量損耗。在罩幕基底具有蝕刻終止層的一些實施例中,所述蝕刻終止層位於頂蓋層與吸收體層之間。在罩幕基底不含有蝕刻終止層的一些實施例中,直接在頂蓋層的上方沉積吸收體層。在一些實施例中,出於靜電卡持(electrostatic chucking)目的而在基底背側處另外沉積導電層。舉例來說,靜電卡盤帶負電荷且罩幕基底帶正電荷。在製作製程期間,此種相反的電荷提供用於將罩幕基底保持到位的吸引力。
方法200繼續到操作220,在操作220中,將硬罩幕層圖案化以界定圖案圖像。使用旋轉塗佈、浸漬塗佈方法(dip coating method)、或氣刀塗佈方法(air knife coating method)在硬罩幕層的上方塗佈感光性層(例如,光阻)以將所述硬罩幕層圖案化成具有積體電路圖案。接下來,執行電子束或雷射寫入以界定圖案圖像。舉例來說,電子束寫入會提供入射在目標表面上的電束(electrical beam)並將感光性層中所含有的聚合物打碎成較小的碎片。接著從感光性層移除所述較小的碎片以在所述感光性層中界定開口。在一些實施例中,感光性層包含淬火劑(quencher)、光可降解鹼(photo decomposable base,PDB)、及光酸產生劑(photo acid generator,PAG),所述光酸產生劑會在曝光製程之後產生酸。淬火劑是用以中和酸以對曝光區域中的化學放大抗蝕劑(chemically amplified resist,CAR)進行淬火的鹼分子。在一些實施例中,感光性層具有介於從約80 nm到約120 nm範圍內的厚度。在一些情形中,厚度變大或厚度變小會降低圖案圖像的對比及分辨率。接下來,執行顯影製程(developing process)以使用顯影劑(developer)選擇性地移除暴露區或未暴露區以界定圖案圖像。
方法200繼續到操作230,在操作230中,執行第一蝕刻製程以移除硬罩幕層的一部分。吸收體層在第一蝕刻製程期間具有比硬罩幕層相對高的蝕刻選擇性,使得所述吸收體層幾乎不被移除。第一蝕刻製程包括濕蝕刻、幹蝕刻(例如,電感耦合等離子體(inductively coupled plasma,ICP)蝕刻、反應離子蝕刻(reactive ion etching,RIE)蝕刻、及等離子體增強型(plasma enhanced,PE)蝕刻)、或其組合。在硬罩幕層包含氧化鉭硼或氮氧化鉭矽的一些實施例中,使用包含四氟甲烷或四氯化碳的蝕刻劑執行ICP製程。在一些實施例中,蝕刻劑包含僅一種蝕刻氣體。與包含多種類型的蝕刻氣體的其他方式相比,蝕刻氣體類型的減少有助於防止感光性層在對硬罩幕層進行的蝕刻期間損壞及/或硬化。在一些實施例中,蝕刻劑包含多於一種蝕刻氣體,例如包含四氯化碳與氫氣的混合物。在一些實施例中,將蝕刻劑與載氣(carrier gas)(例如,氦氣、氬氣、或氮氣)一起使用,以調整及/或維持反應壓力及蝕刻劑濃度。在一些實施例中,蝕刻劑對載氣的流動比率介於從約1:1到約1:10範圍內。在一些情形中,流動比率變大會增大損壞感光性層的風險。在一些情形中,流動比率變小會延長加工時間且增加製造成本。在一些實施例中,在介於從約1毫托(milli-Torr,mT)到約10毫托範圍內的壓力下執行第一蝕刻製程。在一些實施例中,ICP製程的源功率(source power)介於從約200瓦(watt)到約300瓦範圍內。與其他方式相比,由於硬罩幕層(即,抗反射塗佈層)是罩幕基底的最頂部層,因此方法200會避免至少一個圖案轉移步驟,從而使圖案保真度提高且使影響圖像圖案的近接趨勢(proximity trend)(即,目標CD與實際CD之間的差異)的蝕刻負載效應(etching loading effect)減輕。此外,與其他方式相比,後續的吸收體堆疊的側壁實質上不含有氧化物。在其他方式中,氧化物會造成CD偏差及較差的圖案保真度。因此,與其他方式相比,方法200會改善CD控制。
方法200繼續到操作240,在操作240中,執行第二蝕刻製程以移除由其餘硬罩幕層界定的吸收體層的一部分。與第一蝕刻製程相比,硬罩幕層在第二蝕刻製程期間具有比吸收體層足夠高的蝕刻選擇性,使得在所述第二蝕刻製程期間僅最少量的硬罩幕層被移除。在一些實施例中,第二蝕刻製程應用與第一蝕刻製程相同類型的蝕刻製程。第二蝕刻製程的蝕刻劑與第一蝕刻製程的蝕刻劑不同。在吸收體層包含氮化鉭硼或鉭矽的一些實施例中,使用氯氣與氧氣的混合物執行ICP製程。在一些實施例中,蝕刻終止層的蝕刻選擇性對吸收體層的蝕刻選擇性的比率介於從約1到4到約1:6範圍內。在一些情形中,當蝕刻終止層過薄時,比率變小會增大在第二蝕刻製程期間出現過蝕刻(over-etch)的風險。在吸收體層包含氮化鉭硼且蝕刻終止層包含矽的一些實施例中,所述兩個層均是透過ICP製程使用相同的蝕刻劑來移除。透過發射光譜(optical emission spectroscopy,OES)測量來監控ICP製程,所述OES測量檢測從對蝕刻終止層的移除產生的第一信號及與頂蓋層相關的第二信號。在透過OES檢測到第二信號的同時,終結ICP製程。在蝕刻終止層包含氧化矽的至少一個實施例中,第二蝕刻製程僅移除吸收體層且在暴露出氧化矽蝕刻終止層時終止。
在一些實施例中,修改方法200中各操作的次序。舉例來說,使用第三蝕刻製程移除蝕刻終止層的一部分,直到暴露出頂蓋層的頂表面。在一些實施例中,方法200中包括其他操作。作為另一實例,在製作罩幕基底之後、在第一蝕刻製程之後、及/或在第二蝕刻製程之後執行至少一個修復製程(repairing process)。
圖3A至圖3D是根據一個或多個本發明實施例的反射式罩幕301在各種製造階段處的剖視圖。反射式罩幕301包括與罩幕基底100相似的組件且相同組件的後兩位數字是相同的。
圖3A是根據一個或多個本發明實施例的反射式罩幕301在操作220之後的剖視圖。反射式罩幕301包括基底310、反射性多層320、頂蓋層330、蝕刻終止層340、吸收體層350、及抗反射塗佈層360。在一些實施例中,抗反射塗佈層360具有介於從約1 nm到約5 nm範圍內的厚度。在一些情形中,厚度變大會在蝕刻製程期間增加氧化物副產物的形成。在一些情形中,厚度變小會提供不充足的入射輻射反射率。在抗反射塗佈層360包含氧化鉭硼的一些實施例中,所述厚度介於從約2 nm到3 nm範圍內。在一些情形中,在包括旋轉塗佈、軟烘焙(soft baking)、對準(aligning)、曝光、曝光後烘焙(post exposure baking)、顯影、及硬烘焙(hard baking)在內的一系列製程之後,在抗反射塗佈層360的上方形成感光性層370。反射式罩幕301進一步包括暗區372及亮區374,暗區372對應於其中吸收體層350及抗反射塗佈層360得到維持的區,亮區374被配置成在微影製程期間反射入射輻射。
在一些實施例中,感光性層370的厚度介於從約80 nm到約120 nm範圍內。在一些情形中,厚度變大會增大電子束寫入器(e-beam writer)的能量(劑量(dose))。在一些情形中,厚度變小會增大過曝現象(over exposure phenomenon)的風險。與其他方式相比,感光性層370較薄,使得感光性層370在曝光期間具有更均勻的深度輪廓,從而實現增強的圖像分辨率。在至少一個實施例中,圖像分辨率約為40 nm。
圖3B是根據一個或多個本發明實施例的反射式罩幕301在操作230之後的剖視圖。使用第一蝕刻製程移除由暗區372界定的抗反射塗佈層360的一部分。如此一來,在第一蝕刻製程之後,與亮區374對應的吸收體層350的一部分會暴露出。與其他方式相比,由於抗反射塗佈層360還充當硬罩幕層,因此至少一個曝光製程及一個蝕刻製程被移除,由此有助於減少因在微影製程期間造成的顆粒引起的污染。另外,簡化的製程會提高CD平均值與目標值之差(mean to target,MTT),由此增強反射式罩幕301的分辨率。
接下來,在圖3C中,由於剩餘的抗反射塗佈層360已被圖案化且被配置成用作硬罩幕層,因此不再需要感光性層370。在一些實施例中,使用濕剝除(wet stripping)或等離子體灰化(plasma ashing)從反射式罩幕301移除感光性層370。
圖3D是根據一個或多個本發明實施例的反射式罩幕301在操作240之後的剖視圖。使用第二蝕刻製程移除由抗反射塗佈層360界定的暴露的吸收體層350。在一些實施例中,使用第三蝕刻製程進一步移除位於吸收體層350的被移除部分的下方的蝕刻終止層340的一部分。在一些情形中,第二蝕刻製程與第三蝕刻製程相同。在一些情形中,第二蝕刻製程與第三蝕刻製程不同。此後,在反射性多層320的上方形成多個吸收體堆疊376。
與其他方式相比,相對較薄的抗反射塗佈層360有助於避免在吸收體層350處形成底切。另外,在其他方式中,氧化物副產物會在第一蝕刻製程期間累積且沿吸收體堆疊376的側壁貼合,由此降低第二蝕刻製程的效率。因此,相對較薄的抗反射塗佈層360有助於減少氧化物副產物的產生,且當抗反射塗佈層360是由氧化鉭硼製成時,吸收體堆疊376的側壁實質上不含有氧化物(例如,氧化硼)。在至少一種情形中,透過沿每一個吸收體堆疊376在若干個點處測量多個吸收體堆疊376的寬度來計算吸收體堆疊376的側壁的粗糙度。接著對所測量的寬度求平均,以獲得平均特徵寬度。所述粗糙度是由最大測量寬度與平均寬度之間的差來界定。在一些實施例中,由於吸收體層350的側壁實質上不含有氧化物副產物,因此每一個吸收體堆疊376的側壁粗糙度小於3 nm,從而提高圖像保真度。
圖4A至圖4B是根據一個或多個本發明實施例的反射式罩幕401的剖視圖。反射式罩幕401包括與罩幕基底100相似的組件且相同組件的後兩位數字是相同的。與反射式罩幕301相比,在圖4A中,由於在微影製程期間蝕刻終止層440對所選擇波長范圍為透明,因此在第二蝕刻製程之後蝕刻終止層440得到保持;在圖4B中,在第二蝕刻製程期間蝕刻終止層440被局部地移除。此外,在頂蓋層430包含釕且反射性多層420的最頂部膜包含矽的一些實施例中,矽/釕/矽的組合有助於增大EUV輻射的反射率。
圖5是根據一個或多個本發明實施例的反射式罩幕501的剖視圖。反射式罩幕501包括與罩幕基底100相似的組件且相同組件的後兩位數字是相同的。與反射式罩幕301相比,反射式罩幕501不含有蝕刻終止層。
應理解,罩幕基底100及反射式罩幕301/401/501中的每一個均經歷進一步的製作製程流程以形成各種特徵,例如護膜框架(pellicle frame)、護膜薄膜(pellicle membrane) 、薄化基底等。
本發明實施例的一個方面涉及一種製作罩幕基底的方法。所述方法包括:在基底的上方沉積反射性多層;在反射性多層的上方沉積頂蓋層;在頂蓋層的上方沉積吸收體層;以及在吸收體層的上方沉積抗反射塗佈層。抗反射塗佈層是單一材料膜。在一些實施例中,在吸收體層的上方沉積抗反射塗佈層包括:將抗反射塗佈層沉積成使所述抗反射塗佈層的厚度對吸收體層的厚度的比率介於從約0.015到約0.075範圍內。在一些實施例中,在吸收體層的上方沉積抗反射塗佈層包括:將抗反射塗佈層沉積至具有介於從約1 nm到約5 nm範圍內的厚度。在一些實施例中,所述方法進一步包括:在頂蓋層的上方沉積蝕刻終止層,其中所述蝕刻終止層的厚度介於從約2 nm到約5 nm範圍內。在一些實施例中,沉積蝕刻終止層包括:將蝕刻終止層沉積成使所述蝕刻終止層的蝕刻選擇性對頂蓋層的蝕刻選擇性的比率等於或小於1:20。
本發明實施例的另一方面涉及一種製造罩幕版的方法。所述方法包括:在基底的上方沉積吸收體層;在吸收體層的上方沉積硬罩幕層;直接在硬罩幕層的上方塗佈光阻;以及將光阻圖案化,以暴露出所述硬罩幕層的一部分。硬罩幕層包含鉭。在一些實施例中,所述方法進一步包括:執行第一蝕刻製程,以移除硬罩幕層的暴露部分;剝除光阻;以及執行第二蝕刻製程,以移除位於硬罩幕層的被移除部分的下方的吸收體層的一部分。在一些實施例中,執行第一蝕刻製程包括:使四氟甲烷與載氣的混合物在硬罩幕層的暴露部分上流動。在一些實施例中,執行第二蝕刻製程包括:使用與第一蝕刻製程的蝕刻劑不同的蝕刻劑。在一些實施例中,所述方法進一步包括:在基底的上方沉積反射性多層;在反射性多層的上方沉積頂蓋層;以及在頂蓋層的上方沉積蝕刻終止層。蝕刻終止層的蝕刻選擇性在第一蝕刻製程期間大於吸收體層的蝕刻選擇性且在第二蝕刻製程期間小於頂蓋層的蝕刻選擇性。在一些實施例中,所述方法進一步包括:執行第三蝕刻製程,以移除位於吸收體層的被移除部分的下方的蝕刻終止層的一部分。在一些實施例中,執行第三蝕刻製程包括:使用與第二蝕刻製程的蝕刻劑相同的蝕刻劑。在一些實施例中,執行第三蝕刻製程包括:接收發射光譜測量,以檢測終點。在一些實施例中,塗佈光阻包括:在硬罩幕層的上方塗佈包括化學放大抗蝕劑的光阻,其中所述光阻的厚度介於從約80 nm到約120 nm範圍內。
本發明實施例的又一方面涉及一種反射式光罩。反射式光罩包括:基底;反射性多層,位於基底的上方;以及多個吸收體堆疊,位於反射性多層的上方。所述多個吸收體堆疊中的每一個吸收體堆疊均具有蝕刻終止層、吸收體層及抗反射塗佈層,其中所述抗反射塗佈層的厚度對所述蝕刻終止層的厚度的比率介於從約1:1到約1:2.5範圍內。在一些實施例中,所述多個吸收體堆疊中的每一個吸收體堆疊的側壁粗糙度小於3 nm。在一些實施例中,蝕刻終止層被配置成反射波長從約200 nm到約350 nm的光。在一些實施例中,蝕刻終止層的厚度介於從約1 nm到約5 nm範圍內。在一些實施例中,抗反射塗佈層包含氧化鉭硼且吸收體層包含氮化鉭硼。在一些實施例中,吸收體堆疊的側壁實質上不含有氧化硼。
以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本發明實施例的各個方面。所屬領域中的技術人員應知,其可容易地使用本發明實施例作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本發明實施例的精神及範圍,而且他們可在不背離本發明實施例的精神及範圍的條件下對其作出各種改變、代替、及變更。
100‧‧‧罩幕基底/反射式罩幕基底
110、310、410、510‧‧‧基底
120、320、420、520‧‧‧反射性多層
130、330、430、530‧‧‧頂蓋層
140、340、440‧‧‧蝕刻終止層
150、350、450、550‧‧‧吸收體層
160、360、460、560‧‧‧抗反射塗佈層
200‧‧‧方法
210、220、230、240‧‧‧操作
301、401、501‧‧‧反射式罩幕
370‧‧‧感光性層
372‧‧‧暗區
374‧‧‧亮區
376、476、576‧‧‧吸收體堆疊
根據以下的詳細說明並配合所附圖式以了解本發明實施例。應注意的是,根據本產業的一般作業,各種特徵並非按比例繪製。事實上,為論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1是根據一個或多個本發明實施例的反射式罩幕基底的剖視圖。 圖2是根據一個或多個本發明實施例的製作反射式罩幕的方法的流程圖。 圖3A至圖3D是根據一個或多個本發明實施例的反射式罩幕在各種製造階段處的剖視圖。 圖4A至圖4B是根據一個或多個本發明實施例的反射式罩幕的剖視圖。 圖5是根據一個或多個本發明實施例的反射式罩幕的剖視圖。
Claims (20)
- 一種製作罩幕基底的方法,包括: 在基底的上方沉積反射性多層; 在所述反射性多層的上方沉積頂蓋層; 在所述頂蓋層的上方沉積吸收體層;以及 在所述吸收體層的上方沉積減反射塗布層,其中所述減反射塗布層是單一材料膜。
- 如申請專利範圍第1項所述的方法,其中所述在所述吸收體層的上方沉積所述減反射塗布層包括: 將所述減反射塗布層沉積成使所述減反射塗布層的厚度對所述吸收體層的厚度的比率介於從約0.015到約0.075範圍內。
- 如申請專利範圍第1項所述的方法,其中所述在所述吸收體層的上方沉積所述減反射塗布層包括: 將所述減反射塗布層沉積至具有介於從約1 nm到約5 nm範圍內的厚度。
- 如申請專利範圍第1項所述的方法,更包括: 在所述頂蓋層的上方沉積蝕刻終止層,其中所述蝕刻終止層的厚度介於從約2 nm到約5 nm範圍內。
- 如申請專利範圍第4項所述的方法,其中所述沉積所述蝕刻終止層包括: 將所述蝕刻終止層沉積成使所述蝕刻終止層的蝕刻選擇性對所述頂蓋層的蝕刻選擇性的比率等於或小於1:20。
- 一種製造罩幕版的方法,包括: 在基底的上方沉積吸收體層; 在所述吸收體層的上方沉積硬罩幕層,其中所述硬罩幕層包含鉭; 直接在所述硬罩幕層的上方塗布光阻;以及 將所述光阻圖案化,以暴露出所述硬罩幕層的一部分。
- 如申請專利範圍第6項所述的方法,更包括: 執行第一蝕刻製程,以移除所述硬罩幕層的所述暴露部分; 剝除所述光阻;以及 執行第二蝕刻製程,以移除位於所述硬罩幕層的所述被移除部分的下方的所述吸收體層的一部分。
- 如申請專利範圍第7項所述的方法,其中所述執行所述第一蝕刻製程包括: 使四氟甲烷與載氣的混合物在所述硬罩幕層的所述暴露部分上流動。
- 如申請專利範圍第7項所述的方法,其中所述執行所述第二蝕刻製程包括: 使用與所述第一蝕刻製程的蝕刻劑不同的蝕刻劑。
- 如申請專利範圍第7項所述的方法,更包括: 在所述基底的上方沉積反射性多層; 在所述反射性多層的上方沉積頂蓋層;以及 在所述頂蓋層的上方沉積蝕刻終止層,其中所述蝕刻終止層的蝕刻選擇性在所述第一蝕刻製程期間大於所述吸收體層的蝕刻選擇性且在所述第二蝕刻製程期間小於所述頂蓋層的蝕刻選擇性。
- 如申請專利範圍第10項所述的方法,更包括: 執行第三蝕刻製程,以移除位於所述吸收體層的所述被移除部分的下方的所述蝕刻終止層的一部分。
- 如申請專利範圍第11項所述的方法,其中所述執行所述第三蝕刻製程包括: 使用與所述第二蝕刻製程的蝕刻劑相同的蝕刻劑。
- 如申請專利範圍第11項所述的方法,其中所述執行所述第三蝕刻製程包括: 接收發射光譜測量,以檢測終點。
- 如申請專利範圍第6項所述的方法,其中所述塗布所述光阻包括: 在所述硬罩幕層的上方塗布包括化學放大抗蝕劑的光阻,其中所述光阻的厚度介於從約80 nm到約120 nm範圍內。
- 一種反射式光罩,包括: 基底; 反射性多層,位於所述基底的上方;以及 多個吸收體堆疊,位於所述反射性多層的上方,其中所述多個吸收體堆疊中的每一個吸收體堆疊均具有蝕刻終止層、吸收體層及減反射塗布層,其中所述減反射塗布層的厚度對所述蝕刻終止層的厚度的比率介於從約1:1到約1:2.5範圍內。
- 如申請專利範圍第15項所述的反射式光罩,其中所述多個吸收體堆疊中的每一個吸收體堆疊的側壁粗糙度小於3 nm。
- 如申請專利範圍第15項所述的反射式光罩,其中所述蝕刻終止層被配置成反射波長從約200 nm到約350 nm的光。
- 如申請專利範圍第15項所述的反射式光罩,其中所述蝕刻終止層的厚度介於從約1 nm到約5 nm範圍內。
- 如申請專利範圍第15項所述的反射式光罩,其中所述減反射塗布層包含氧化鉭硼且所述吸收體層包含氮化鉭硼。
- 如申請專利範圍第15項所述的反射式光罩,其中所述吸收體堆疊中的每一個的側壁實質上不含有氧化硼。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/682,849 US10553428B2 (en) | 2017-08-22 | 2017-08-22 | Reflection mode photomask and fabrication method therefore |
US15/682,849 | 2017-08-22 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201913722A true TW201913722A (zh) | 2019-04-01 |
TWI710004B TWI710004B (zh) | 2020-11-11 |
Family
ID=65436097
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106135972A TWI710004B (zh) | 2017-08-22 | 2017-10-19 | 反射式光罩及其製作方法 |
Country Status (3)
Country | Link |
---|---|
US (4) | US10553428B2 (zh) |
CN (1) | CN109426065B (zh) |
TW (1) | TWI710004B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI827922B (zh) * | 2020-04-29 | 2024-01-01 | 美商應用材料股份有限公司 | 極紫外線遮罩素材及其製造方法 |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10553428B2 (en) | 2017-08-22 | 2020-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reflection mode photomask and fabrication method therefore |
US20220229357A1 (en) * | 2019-06-20 | 2022-07-21 | Hoya Corporation | Reflective mask blank, reflective mask, and method for manufacturing reflective mask and semiconductor device |
Family Cites Families (35)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6410193B1 (en) * | 1999-12-30 | 2002-06-25 | Intel Corporation | Method and apparatus for a reflective mask that is inspected at a first wavelength and exposed during semiconductor manufacturing at a second wavelength |
JP4540267B2 (ja) * | 2001-07-30 | 2010-09-08 | Hoya株式会社 | Euv光露光用反射型マスクブランクおよびeuv光露光用反射型マスク |
US6673520B2 (en) * | 2001-08-24 | 2004-01-06 | Motorola, Inc. | Method of making an integrated circuit using a reflective mask |
EP2317383A3 (en) * | 2002-04-11 | 2011-12-28 | HOYA Corporation | Reflective mask blank, reflective mask and methods of producing the mask blank and the mask |
KR100455383B1 (ko) * | 2002-04-18 | 2004-11-06 | 삼성전자주식회사 | 반사 포토마스크, 반사 포토마스크의 제조방법 및 이를이용한 집적회로 제조방법 |
JP4693395B2 (ja) * | 2004-02-19 | 2011-06-01 | Hoya株式会社 | 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法 |
KR100735531B1 (ko) * | 2006-03-21 | 2007-07-04 | 삼성전자주식회사 | 보상 패턴을 포함하는 반사형 포토마스크와 그 제조방법 및반사형 블랭크 포토마스크 |
JP5018212B2 (ja) * | 2007-04-26 | 2012-09-05 | 凸版印刷株式会社 | 反射型フォトマスクブランク及び反射型フォトマスク並びに半導体装置の製造方法 |
DE102007028800B4 (de) * | 2007-06-22 | 2016-11-03 | Advanced Mask Technology Center Gmbh & Co. Kg | Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske |
JP4465405B2 (ja) * | 2008-02-27 | 2010-05-19 | Hoya株式会社 | フォトマスクブランクおよびフォトマスク並びにこれらの製造方法 |
JP5345333B2 (ja) | 2008-03-31 | 2013-11-20 | Hoya株式会社 | フォトマスクブランク、フォトマスク及びその製造方法 |
JP5507876B2 (ja) * | 2009-04-15 | 2014-05-28 | Hoya株式会社 | 反射型マスクブランク及び反射型マスクの製造方法 |
JP2011197375A (ja) * | 2010-03-19 | 2011-10-06 | Dainippon Printing Co Ltd | 反射型マスクの製造方法および該製造に用いられる反射型マスクブランク |
DE102010025033B4 (de) * | 2010-06-23 | 2021-02-11 | Carl Zeiss Smt Gmbh | Verfahren zur Defekterkennung und Reparatur von EUV-Masken |
WO2012105508A1 (ja) * | 2011-02-01 | 2012-08-09 | 旭硝子株式会社 | Euvリソグラフィ用反射型マスクブランク |
JP5935804B2 (ja) * | 2011-09-01 | 2016-06-15 | 旭硝子株式会社 | 反射型マスクブランク及び反射型マスクブランクの製造方法 |
KR101642617B1 (ko) * | 2011-09-28 | 2016-07-25 | 도판 인사츠 가부시키가이샤 | 반사형 노광용 마스크 블랭크 및 반사형 노광용 마스크 |
KR20140099226A (ko) * | 2011-11-25 | 2014-08-11 | 아사히 가라스 가부시키가이샤 | Euv 리소그래피용 반사형 마스크 블랭크 및 그 제조 방법 |
US8900469B2 (en) * | 2011-12-19 | 2014-12-02 | Applied Materials, Inc. | Etch rate detection for anti-reflective coating layer and absorber layer etching |
JPWO2014050891A1 (ja) * | 2012-09-28 | 2016-08-22 | 旭硝子株式会社 | Euvリソグラフィ用反射型マスクブランクおよびその製造方法、ならびにeuvリソグラフィ用反射型マスクおよびその製造方法 |
US8778574B2 (en) * | 2012-11-30 | 2014-07-15 | Applied Materials, Inc. | Method for etching EUV material layers utilized to form a photomask |
JP2014127630A (ja) * | 2012-12-27 | 2014-07-07 | Asahi Glass Co Ltd | Euvリソグラフィ用反射型マスクブランクおよびその製造方法 |
US9250514B2 (en) * | 2013-03-11 | 2016-02-02 | Applied Materials, Inc. | Apparatus and methods for fabricating a photomask substrate for EUV applications |
US8911920B2 (en) * | 2013-03-15 | 2014-12-16 | GlobalFoundries, Inc. | Methods for fabricating EUV masks and methods for fabricating integrated circuits using such EUV masks |
US9046781B2 (en) | 2013-03-15 | 2015-06-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for reflective-type mask |
JP2015109366A (ja) * | 2013-12-05 | 2015-06-11 | 旭硝子株式会社 | Euvリソグラフィ用反射型マスクブランク若しくはeuvリソグラフィ用の反射層付基板、およびその製造方法 |
KR20150066966A (ko) * | 2013-12-09 | 2015-06-17 | 삼성전자주식회사 | 포토마스크, 포토마스크의 에러 보정 방법, 포토마스크를 이용하여 제조된 집적회로 소자 및 그 제조 방법 |
US9195132B2 (en) * | 2014-01-30 | 2015-11-24 | Globalfoundries Inc. | Mask structures and methods of manufacturing |
KR20160002332A (ko) * | 2014-06-30 | 2016-01-07 | 주식회사 에스앤에스텍 | 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크 |
DE102014217907B4 (de) * | 2014-09-08 | 2018-12-20 | Carl Zeiss Smt Gmbh | Verfahren zum Herstellen einer Maske für den extrem ultra-violetten Wellenlängenbereich und Maske |
US10274819B2 (en) * | 2015-02-05 | 2019-04-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | EUV pellicle fabrication methods and structures thereof |
WO2016204051A1 (ja) * | 2015-06-17 | 2016-12-22 | Hoya株式会社 | 導電膜付き基板、多層反射膜付き基板、反射型マスクブランク、反射型マスク及び半導体装置の製造方法 |
US9740094B2 (en) | 2015-08-21 | 2017-08-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Damage prevention on EUV mask |
US10241390B2 (en) * | 2016-02-24 | 2019-03-26 | AGC Inc. | Reflective mask blank and process for producing the reflective mask blank |
US10553428B2 (en) * | 2017-08-22 | 2020-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reflection mode photomask and fabrication method therefore |
-
2017
- 2017-08-22 US US15/682,849 patent/US10553428B2/en active Active
- 2017-10-19 TW TW106135972A patent/TWI710004B/zh active
- 2017-11-07 CN CN201711085885.XA patent/CN109426065B/zh active Active
-
2020
- 2020-01-27 US US16/773,547 patent/US11270884B2/en active Active
-
2022
- 2022-02-08 US US17/667,446 patent/US11735421B2/en active Active
-
2023
- 2023-07-21 US US18/356,366 patent/US20230360914A1/en active Pending
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI827922B (zh) * | 2020-04-29 | 2024-01-01 | 美商應用材料股份有限公司 | 極紫外線遮罩素材及其製造方法 |
Also Published As
Publication number | Publication date |
---|---|
US11735421B2 (en) | 2023-08-22 |
US10553428B2 (en) | 2020-02-04 |
US20220165572A1 (en) | 2022-05-26 |
US20230360914A1 (en) | 2023-11-09 |
US20200161132A1 (en) | 2020-05-21 |
CN109426065A (zh) | 2019-03-05 |
US20190067007A1 (en) | 2019-02-28 |
US11270884B2 (en) | 2022-03-08 |
TWI710004B (zh) | 2020-11-11 |
CN109426065B (zh) | 2023-02-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8679707B2 (en) | Method of fabricating a lithography mask | |
US11735421B2 (en) | Reflection mode photomask and method of making | |
US9354510B2 (en) | EUV mask and method for forming the same | |
US9142467B2 (en) | Etch rate detection for anti-reflective coating layer and absorber layer etching | |
US6583068B2 (en) | Enhanced inspection of extreme ultraviolet mask | |
TWI720357B (zh) | 半導體裝置的製造方法 | |
TWI753273B (zh) | 極紫外光微影光罩及其製造方法 | |
TWI725192B (zh) | 光罩及其製作方法 | |
CN110658676B (zh) | 极紫外光微影光罩及其制造方法 | |
US8808559B2 (en) | Etch rate detection for reflective multi-material layers etching | |
US8795931B2 (en) | Reflection-type photomasks and methods of fabricating the same | |
CN112305856B (zh) | 极紫外光微影光罩与图案化半导体晶圆的方法 | |
US11150561B2 (en) | Method and apparatus for collecting information used in image-error compensation | |
KR100735530B1 (ko) | 단차를 가진 반사층을 포함하는 반사형 포토마스크 및 그 제조방법 |