TWI725192B - 光罩及其製作方法 - Google Patents
光罩及其製作方法 Download PDFInfo
- Publication number
- TWI725192B TWI725192B TW106118648A TW106118648A TWI725192B TW I725192 B TWI725192 B TW I725192B TW 106118648 A TW106118648 A TW 106118648A TW 106118648 A TW106118648 A TW 106118648A TW I725192 B TWI725192 B TW I725192B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- photomask
- phase shift
- depositing
- substrate
- Prior art date
Links
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/68—Preparation processes not covered by groups G03F1/20 - G03F1/50
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/26—Phase shift masks [PSM]; PSM blanks; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/26—Phase shift masks [PSM]; PSM blanks; Preparation thereof
- G03F1/30—Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/26—Phase shift masks [PSM]; PSM blanks; Preparation thereof
- G03F1/32—Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/38—Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/68—Preparation processes not covered by groups G03F1/20 - G03F1/50
- G03F1/80—Etching
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
Abstract
一種製造光罩的方法包括:在透光性基底之上沉積終點層;在所述終點層之上沉積相位移層;在所述相位移層之上沉積硬質罩幕層;以及移除所述硬質罩幕層的一部分及所述相位移層的第一部分,以暴露出所述終點層的一部分。所述終點層及所述透光性基底對於預定波長是可透光的。
Description
本發明實施例是有關於一種光罩及其製作方法。
微影(Photolithography)用於在半導體元件的製作過程中將圖案轉移至晶圓上。基於各種積體電路(integrated circuit,IC)佈局,將光罩的圖案減小為2:1或4:1的因數(factor)以將所述圖案轉移至晶圓的表面。光罩(mask or reticle)是由一側上沉積有一種或多種不透光材料以阻擋光滲透的透光性基底製成。隨著尺寸的減小及IC晶片中的密度的增加,已開發出例如相位移光罩(phase-shift mask,PSM)、光學鄰近修正(optical proximity correction,OPC)、偏軸照明(off-axis illumination,OAI)及雙偶極微影(double dipole lithography,DDL)等解析度增強技術來提高焦點深度(depth of focus,DOF)及使得能夠更精確地將圖案轉移至晶圓上。
本發明實施例的一個方面涉及一種製造光罩的方法。所述方法包括在透光性基底之上沉積終點層。所述終點層及所述透光性基底對於預定波長是可透光的;在所述終點層之上沉積相位移層;在所述相位移層之上沉積遮光層或硬質罩幕層;以及移除所述遮光層或硬質罩幕層的一部分及所述相位移層的第一部分,以暴露出所述終點層的一部分。
以下公開內容提供用於實作所提供主題的不同特徵的許多不同的實施例或例子。以下闡述組件、值、操作、材料、排列等的具體例子以簡化本公開內容。當然,這些僅為例子且不旨在進行限制。預期存在其他組件、值、操作、材料、排列等。例如,以下說明中將第一特徵形成在第二特徵“之上”或第二特徵“上”可包括其中第一特徵及第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有附加特徵、進而使得所述第一特徵與所述第二特徵可能不直接接觸的實施例。另外,本公開內容可能在各種例子中重複使用參考編號及/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如“之下(beneath)”、“下面(below)”、“下部的(lower)”、“上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示的一個部件或特徵與另一(其他)部件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括元件在使用或操作中的不同取向。
由於半導體元件特徵大小已減小至小於在微影製程中使用的光的波長,因此製造最小特徵大小(minimum feature size)(也被稱作臨界尺寸(critical dimension,CD))的能力變得對穿過光罩的光的光學邊緣現象(optical fringing)更加敏感。二元光罩(binary mask,BIM)包括透光性基底及不透明層。在微影製程期間,藉由以下方式將圖案轉移至所述晶圓的表面:在所述方式中,使光透射過透光性基底並以不透明層阻擋光來選擇性地暴露出晶圓上的光罩層。然而,圖案邊緣處的光阻被暴露於由建設性干涉(constructive interference)/破壞性干涉(destructive interference)所造成的非所期望光條件下,所述建設性/破壞性干涉會影響入射於圖案邊緣處的光阻上的光量。因此,被轉移至晶圓的圖案會在邊緣處失真,從而使所轉移圖案的解析度降級。為了在轉移圖案時增強解析度,會使用相位移光罩(PSM)對穿過光罩的所選擇光的相位進行π(180度)的相位移,由此藉由破壞性干涉抵消非期望光。移除非期望光有益於提高圖像轉移的精度。通常,PSM被分類成交替式PSM(alternating PSM)或衰減式PSM(attenuated PSM)。交替式PSM藉由調整光罩的基底的厚度來引發對光的相位移。在衰減式PSM中,基底的某些部分被相位移層(phase shifter)覆蓋。入射於相位移層上少部分的光(例如,約6%至約9%)行進穿過所述相位移層。在一些實施例中,約12%的入射光行進穿過相位移層。衰減式PSM的基底的被相位移層暴露出的區允許約99%的入射光行進穿過所述基底。
在光罩製作製程期間,應用電子束(e-beam)蝕刻/寫入技術將光罩上的缺陷(defect)移除並使用背向散射電子(backscattered electron,BSE)檢測器來監測光罩的表面在蝕刻製程期間的變化。舉例來說,對於光罩的包括相位移層的區,BSE將監測所述相位移層中的變化;並且對於光罩的其中暴露出基底的區,BSE將監測所述基底上的變化。在相位移層或不透明層的平均原子序數(Z)與基底的平均原子序數相似的某些情形中,基底會因相位移層或不透明層與所述基底之間的訊號對比度(signal contrast)難以彼此區分而發生過蝕刻(over-etching)。也因此,光散射效應(light-scattering effect)增強造成光罩品質降低。在一些實施例中,為了減輕基底的過蝕刻,會在基底之上形成終點層(end-point layer)。在某些方式中,終點層的平均原子序數與相位移層或不透明層的平均原子序數的不同,足使圖像對比度增強。在某些方式中,終點層對於與基底相似的波長是可透光的。在某些方式中,終點層包含用於在電子束蝕刻/寫入期間將電荷累積效應(charging effect)最小化的微量金屬摻雜。
圖1是根據一個或多個實施例的光罩100的剖視圖。光罩100包括基底110、終點層120、相位移層130’、及不透明層140’’。在相位移層130’中界定有用於暴露出終點層120的一部分的開口162。基底110對於具有預定波長範圍的入射光(即,電磁輻射)是可透光的。舉例來說,在一些實施例中,基底110對於近紫外光(near ultra violet,NUV)波長(例如,約365奈米(nm))是可透光的。在一些實施例中,基底110對於深紫外光(deep ultra violet,DUV)波長(例如,約248 nm)是可透光的。在一些實施例中,基底110對於氟化氬(ArF)雷射(例如,約193 nm)是可透光的。在一些實施例中,基底110對於極紫外光(extreme ultraviolet,EUV)波長(例如,約13.5 nm)是可透光的。基底110包含玻璃、石英、熔融矽石(fused silica)、氟化鈣、氧化矽-氧化鈦合金(silicon oxide-titanium oxide alloy)、藍寶石、或其他適合的材料。在一些實施例中,基底110的厚度的範圍介於約0.25釐米(cm)至約0.75 cm。在某些情形中,較大的厚度會增加製造成本且不能顯著提高功能性。在某些情形中,較小的厚度會增大光罩100在微影製程期間開裂/斷裂的風險。
終點層120位於基底110之上且在終點模式蝕刻製程(end-point mode etch process)期間充當停止層(stop layer)。在一些實施例中,終點層120對於與基底110相似的波長是可透光的。為了幫助防止入射光被終點層120吸收,在光源為ArF雷射的一些實施例中,終點層120的帶隙能量(band gap energy)等於或大於6電子伏特(eV)。在某些情形中,較小的帶隙能量會使光吸收增多且會使圖像傳遞品質變差。
在終點模式蝕刻製程期間,在輻射掃描(radiation scan)期間藉由彈性交互作用(elastic interaction)產生BSE。BSE檢測器基於與輻射掃描相關的散射幾何條件(scattering geometry)而放置於目標物件上方。在位於終點層120之上的層包含矽基材料的一些實施例中,終點層120的平均原子序數大於14。在某些情形中,較小的平均原子序數會使得與矽系材料無法充分區別。在一些實施例中,為了產生BSE訊號的足夠對比度(Z對比度)以確定蝕刻製程的終止點(終點)及暴露出終點層120,終點層120的平均原子序數與相位移層130’的平均原子序數之間的差異大於相位移層130’的平均原子序數的50%。在某些情形中,終點層120的平均原子序數與相位移層130’的平均原子序數之間的較小差異會增大出現假終點檢測(false end-point detection)的風險。在一些實施例中,終點層120的平均原子序數比位於上方的層的平均原子序數大至少兩倍。在一些實施例中,終點層120包含氧化鉿、矽酸鉿、氧化鉿鋁、氧化鍶鉿、氧化鋯、氧化鋯鋁、氮化矽、或其他適合的材料。在終點層120包含氮化矽且基底110包含氧化矽的一些實施例中,終點層120中的矽原子的濃度比基底110中的矽原子的濃度大至少15%或小至少15%。在一些實施例中,終點層120包含微量金屬摻雜以説明減輕電荷累積效應。電子束寫入會被由電子積聚所造成的電荷累積效應損壞,電子積聚會影響入射的電子束,且會使性能降級並造成CD誤差。
相位移層130’位於終點層120之上且具有與終點層120不同的平均原子序數(即,相位移層130’的平均原子序數大於或小於終點層120)。相位移層130’被配置成對穿過光罩100的所選擇光進行π的相位移,從而對光的電場造成破壞性干涉。來自相鄰開口162的各光波之間的電場的破壞性干涉會減輕或完全消除某些繞射效應(diffraction effect),從而得到為零的光強度(電場的平方)並防止非期望光到達晶圓。移除非期望光有助於提高圖像轉移的精度及使晶圓與光罩100之間的距離最小化。在光罩100為衰減式相位移光罩的一些實施例中,相位移層130’的總入射光透射率(total transmission rate incident light)的範圍介於約6%至約9%。在光罩100為高透射相位移光罩(high-transmission phase-shift mask)的一些實施例中,相位移層130’的總入射光透射率的範圍介於約18%至約20%。在一些實施例中,相位移層包含旋塗玻璃(spin-on-glass,SPG)介電材料。在一些實施例中,相位移層130’包含氮化矽、矽化鉭、矽化鉬、氮氧化鉬矽。氮化鉭、或其他適合的材料。在一些實施例中,相位移層130’包括位於基底110之上的單一層。在一些實施例中,相位移層130’包括多個層,例如矽化鉬與氧化矽的組合。在相位移層130’與終點層120二者均包含氮化矽的一些實施例中,相位移層130’中的矽原子的濃度與終點層120中的矽原子的濃度不同,以產生足夠的Z對比度。
在一些實施例中,相位移層130’具有與終點層120不同的蝕刻選擇性(etch selectivity)。在一些實施例中,相位移層130’具有與終點層120相同的蝕刻選擇性,原因是在終點模式蝕刻製程下,終點不是由蝕刻選擇性所決定。
不透明層140’’位於相位移層130’之上且用於減少非期望漏光(light leakage)。在一些實施例中,不透明層140’’沿光罩100的周邊延伸。在光罩100包括重複性圖案陣列的一些實施例(其中每一圖案陣列含有積體電路或晶粒的圖像)中,不透明層140’’沿每一圖案的周邊延伸以使由來自相鄰圖案的漏光造成的負面效應最小化。不透明層140’’對於透射過基底110、終點層120、及相位移層130’的光的波長是不可透光的。在光罩100為三階(tritone mask)光罩的至少一個實施例中,不透明層140’’為光罩100的中心區且具有小於0.1%的透射率。當透射率小於0.1%時,入射光被實質上吸收且不透明層140’’對應於光罩100中的負光阻光罩(dark tone)。在三階光罩中,終點層120及開口162(即,終點層120的被暴露的部分)被稱作正光阻光罩(clear tone)且對應於欲被傳遞的圖案,且不透明層140’’被稱作暗色調。在光罩100為衰減式相位移光罩的一些實施例中,不透明層140’’的反射率(reflectivity)大於50%。在光罩100為交替式相位移光罩的一些實施例中,不透明層140’’的反射率大於約50%。在一些實施例中,不透明層140’’進一步充當保護層以在後續清洗製程(cleaning process)中保護相位移層130’。在一些實施例中,不透明層140’’包含鉻、鎳、鋁、釕、氧化鐵、氮化鉭硼、或其他適合的材料。
圖2是根據一個或多個實施例的製造光罩的方法200的流程圖。所屬領域中的普通技術人員應理解,在某些情形中,可在圖2中所繪示的方法200之前、期間、及/或之後執行附加操作。方法200包括操作210,在操作210中,在透光性基底(例如,圖1中的基底110)之上沉積終點層(例如,圖1中的終點層120)。所述沉積所述終點層包括原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、脈衝雷射沉積(pulsed laser deposition,PLD)、濺鍍(sputtering)、旋塗介電質(spin-on-dielectric,SOD)、類似製程、或其組合。CVD製程包括大氣壓化學氣相沉積(atmospheric pressure CVD,APCVD)、低壓化學氣相沉積(low pressure CVD,LPCVD)、電漿增強型化學氣相沉積(plasma-enhanced CVD,PECVD)、或高密度電漿化學氣相沉積(high-density plasma CVD,HDPCVD)。在一些實施例中,基於各種類型的光罩,終點層的厚度的範圍介於約5埃(Å)至約100 Å。在某些情形中,厚度變大會增加製造成本且不能顯著提高功能性。在某些情形中,厚度變小會增大出現過蝕刻、從而損壞基底的風險。
在終點層120包含氮化矽且透光性基底包含氧化矽的一些實施例中,終點層120中的矽原子的濃度比透光性基底中的矽原子的濃度大15%或小15%。舉例來說,對於矽原子濃度的範圍介於約20%至約40%的透光性基底,終點層中的矽原子的濃度的範圍介於約35%至約55%或介於約5%至25%。在至少一個情形中,當對矽靶(silicon target)進行濺鍍時,會藉由調整氮/氬比(nitrogen/argon ratio)來控制氮化矽中的矽原子的濃度。氮/氬比變小會使得矽原子的濃度變大。舉例來說,當氮/氬比小於30%時,確定終點層與基底之間的差異的能力減弱。
在可選操作220中,以含金屬的摻雜對終點層120進行植入。含金屬的摻雜的原子百分比的範圍介於約20%至約40%。在某些情形中,含金屬的摻雜的原子百分比變小(例如,小於20%)會使導電性不足以移除電子積聚,此會負面地影響電子束寫入製程。在某些情形中,含金屬的摻雜的原子百分比變大(例如,大於40%)會增加製造成本且不能顯著提高功能性。在一些實施例中,在所述形成終點層期間,所述植入為同步製程(in-situ process)。在某些情形中,藉由添加含金屬的摻雜,終點層的導電性提高,由此會降低在後續蝕刻製程期間的電荷積聚。在至少一個實施例中,含金屬的摻雜劑包含鋁、鎵、銦、氧化物、或其他適合的材料。所述植入包含單一含金屬的摻雜物質或多種含金屬的摻雜物質。在至少一個實施例中,終點層包含二氧化鉿-氧化鋁合金(hafnium dioxide-alumina alloy)。
在光罩為相位移光罩的一些實施例中,方法200繼續至過程230。沿過程230進行至操作232,在操作232中,在終點層之上沉積相位移層(例如,圖1中的相位移層130’)。所述沉積相位移層包括ALD、CVD、PVD、PLD、濺鍍、SOD、類似製程、或其組合。在一些實施例中,藉由與終點層相同的製程來沉積相位移層。在一些實施例中,藉由與終點層不同的製程來沉積相位移層。在一些實施例中,基於光源的波長,相位移層的厚度的範圍介於約40 nm至約100 nm。在某些情形中,厚度變大或變小都使與相位移差π的偏差增大,從而降低圖案解析度。然而,所屬領域中的普通技術人員應理解,在所述製程期間,相位移層的厚度是藉由所選擇材料的透射率、光源的波長、及焦點深度(DOF)來確定。舉例來說,在相位移層包含鉬及氮氧化矽的情形中,相位移層的厚度的範圍介於約60 nm至約70 nm。
過程230繼續至操作234。在操作234中,在相位移層之上沉積硬質罩幕層(hard mask layer)。在一些實施例中,硬質罩幕層包含氮化矽、碳化矽、氮氧化矽、碳氧化矽、或其他適合的材料。所述沉積硬質罩幕層包括ALD、CVD、PVD、PLD、濺鍍、SOD、類似製程、或其組合。在一些實施例中,藉由與終點層或相位移層相同的製程來沉積硬質罩幕層。在一些實施例中,藉由與終點層或相位移層中的至少一者不同的製程來沉積硬質罩幕層。在一些實施例中,為了幫助改善微影製程,硬質罩幕層具有抗反射性質(anti-reflective property)。在一些實施例中,硬質罩幕層包含氮氧化矽、碳氧化矽、鉻、氧化鉻、氮化鉻、氮氧化鉻、氮化鈦、氮化鈦矽、鉬矽、或其他適合的材料。在一些實施例中,硬質罩幕層包含單一材料,例如氮氧化矽。在一些實施例中,硬質罩幕層包含多個層,例如氮化矽與氮氧化矽的組合。在一些實施例中,硬質罩幕層的厚度的範圍介於約5 nm至約25 nm。在某些情形中,厚度變大會增加製造成本且不能顯著提高功能性。在某些情形中,厚度變小會增大出現過蝕刻、從而損壞相位移層的風險。
在一些實施例中,為了幫助防止非期望漏光或者在光罩為三階光罩的情形中,在終點層與硬質罩幕層之間存在不透明層(例如,圖1中的不可透光層140’’)。在至少一個實施例中,不透明層為鉻。在所述鉻與硬質罩幕層之間存在充當抗反射層的可選氧化鉻且由此有助於防止光阻的雙重曝光(double exposure)。所述形成不透明層包括例如ALD、CVD、PVD、PLD、濺鍍、SOD、類似製程、或其組合等沉積製程。在光罩為相位移光罩的一些實施例中,不透明層的厚度的範圍介於約30 nm至約60 nm。在某些情形中,厚度變大會增加對入射光的吸收,由此提供不充分光強度。在某些情形中,厚度變小會在蝕刻製程期間造成漏光或差的Z對比度。在光罩為二元光罩的一些實施例中,不透明層的厚度大於60 nm。然而,所屬領域中的普通技術人員應理解,在所述製程期間,不透明層的厚度是藉由所選擇材料的透射率及DOF來確定。
在操作236中,使用第一蝕刻製程將相位移層圖案化。在硬質罩幕層之上沉積光阻並執行例如電子束寫入、雷射寫入(laser writing)、紫外光(UV)、EUV、或其他適合的製程等微影製程,以在所述硬質罩幕層上界定圖案。隨後,執行包括乾蝕刻(dry etching)、濕蝕刻(wet etching)、或其組合的第一蝕刻製程以移除硬質罩幕層的一部分。在光罩具有不透明層的一些實施例中,移除不透明層的被硬質罩幕層的剩餘部分暴露出的一部分。所述移除製程包括乾蝕刻、濕蝕刻、或其組合。在一些實施例中,所述移除硬質罩幕層的所述一部分及不透明層的所述一部分是依序執行的,即一層接一層地進行移除。在一些實施例中,所述移除硬質罩幕層的所述一部分及不透明層的所述一部分是在單一步驟中執行的。所屬領域中的普通技術人員應理解,在蝕刻製程之後會執行清洗製程,以移除殘留物及副產品材料。
隨後藉由包括乾蝕刻、濕蝕刻、或其組合的蝕刻製程將相位移層圖案化。在一些實施例中,使用硬質罩幕層的剩餘部分將相位移層圖案化。在光罩包括不透明層的一些實施例中,使用硬質罩幕層的剩餘部分及位於其下方的不透明層的剩餘部分將相位移層圖案化。在一些實施例中,移除光罩層的剩餘部分並接著使用位於其下方的不透明層的剩餘部分將相位移層圖案化。在一些實施例中,所述移除相位移層的一部分及不透明層的所述一部分是依序執行的。在一些實施例中,所述移除相位移層的所述一部分及不透明層的所述一部分是在單一步驟中執行的。
在所述移除相位移層期間,產生電子束以掃描光罩的表面的組成性質(compositional property)及/或形貌性質(topographical property)。在一些實施例中,電子束的能量的範圍介於約0.75千電子伏特(keV)至約30 keV。在某些情形中,能量變大會增加製造成本。在某些情形中,能量變小會不足以提供高解析度。電子束發射會得到主要電子(primary electron)及二次電子(secondary electron)。同時對由入射電子與目標物件之間的彈性交互作用造成的BSE、及/或二次電子(SE)發射進行監測。在一些實施例中,藉由例如能量及角度選擇性背向散射電子(Energy and angle Selective BSE,ESB)檢測器等BSE訊號檢測器對BSE訊號進行檢測。在一些實施例中,過濾SE訊號並從BSE訊號檢測器將其排除以增強Z對比度。在至少一個實施例中,動態地收集BSE訊號以產生灰階圖像以呈現光罩的表面的組成性質及/或形貌性質,所述灰階圖像對應于所掃描區的平均原子序數。在被掃描的材料的原子序數範圍內確定灰階圖像的灰階水準,且藉由所確定灰階水準對所述灰階圖像進行分析。在一些實施例中,藉由被掃描的材料來確定灰階水準的一個或多個參考點。在一些實施例中,灰階圖像為其中每一圖元的值的範圍介於0至255的點陣圖圖像(bitmap image)。在一些實施例中,灰階圖像為其中每一圖元的值的範圍介於-255至255的點陣圖圖像。平均原子序數較大的材料會使得在BSE與材料的表面之間積聚的電子的交互作用增強,由此在BSE檢測器下形成與平均原子序數較小相比相對更明亮的圖像。在終點層的平均原子序數大於相位移層的平均原子序數的一些實施例中,當BSE檢測器接收到為預定值的增大的BSE訊號時,所述蝕刻製程停止。舉例來說,當終點層包含氧化鉿且相位移層包含氮化物時,預定值被設定為140左右。
做為另外一種選擇,在光罩為二元光罩的一些實施例中,在操作210或可選操作220之後,方法200繼續至過程240。沿過程240進行至操作242,在操作242中,在終點層之上沉積不透明層。所述沉積不透明層包括ALD、CVD、PVD、PLD、濺鍍、SOD、類似製程、或其組合。在一些實施例中,藉由與終點層相同的製程來沉積不透明層。在一些實施例中,藉由與終點層不同的製程來沉積不透明層。在一些實施例中,不透明層的厚度的範圍介於約3 nm至約80 nm。在某些情形中,厚度變大會增加製造成本且不能顯著提高功能性。在某些情形中,厚度變小會不足以阻擋非期望入射光。在一些實施例中,不透明層的厚度大於60 nm。所屬領域中的普通技術人員應理解,在所述製程期間,不透明層的厚度是藉由所選擇材料的透射率及DOF來確定。舉例來說,在光罩為不透明玻璃上鉬矽(opaque molybdenum silicon on glass,OMOG)光罩的情形中,不透明層的厚度的範圍介於約3 nm至約60nm。
過程240繼續至操作244。在操作244中,在不透明層之上沉積硬質罩幕層。在一些實施例中,硬質罩幕層包含氮化矽、碳化矽、氮氧化矽、碳氧化矽、或其他適合的材料。所述沉積硬質罩幕層包括ALD、CVD、PVD、PLD、濺鍍、SOD、類似製程、或其組合。在一些實施例中,藉由與終點層或不透明層相同的製程來沉積硬質罩幕層。在一些實施例中,藉由與終點層或不透明層中的至少一者不同的製程來沉積硬質罩幕層。在一些實施例中,為了幫助改善微影製程,硬質罩幕層具有抗反射性質。在一些實施例中,硬質罩幕層包含氮氧化矽、碳氧化矽、鉻、氧化鉻、氮化鉻、氮氧化鉻、氮化鈦、氮化鈦矽、鉬矽、或其他適合的材料。在一些實施例中,硬質罩幕層包含單一材料,例如氮氧化矽。在一些實施例中,硬質罩幕層包含多個層,例如氮化矽與氮氧化矽的組合。在一些實施例中,硬質罩幕層的厚度的範圍介於約5 nm至約25 nm。在某些情形中,厚度變大會增加製造成本且不能顯著提高功能性。在某些情形中,厚度變小會增大出現過蝕刻、從而損壞不透明層的風險。
在操作246中,使用第二蝕刻製程將不透明層圖案化。在硬質罩幕層之上沉積光阻並執行例如電子束寫入、雷射寫入、UV、EUV、或其他適合的製程等微影製程以在所述硬質罩幕層上界定圖案。隨後,執行包括乾蝕刻、濕蝕刻、或其組合的第二蝕刻製程以移除硬質罩幕層的一部分。所屬領域中的普通技術人員應理解,在第二蝕刻製程之後會執行清洗製程,以移除殘留物及副產品材料。
在操作236或操作246之後,所述方法繼續至可選操作250,在可選操作250中,使用第三蝕刻製程將終點層圖案化。在終點層比基底吸收更多入射光或所述終點層不透明因而無法傳遞足夠入射光的一些實施例中,當所述光罩為相位移光罩時移除相位移層之後或當所述光罩為二元光罩時移除不透明層之後,移除所述終點層。第三蝕刻製程與第一蝕刻製程及第二蝕刻製程不同。舉例來說,在相位移層包含氮化矽且終點層包含氧化鉿的一些實施例中,使用含氟的蝕刻氣體移除所述相位移層並使用含氯的蝕刻氣體移除所述終點層。在至少一個實施例中,終點層具有與基底不同的蝕刻選擇性。
在一些實施例中,方法200中包含附加操作,例如在所述對終點層進行植入之後執行退火製程。藉由這種方式,摻雜離子會從終點層的頂表面朝所述終點層的底部部分擴散以形成更均勻的導電性。在一些實施例中,在範圍介於約攝氏500度至約攝氏900度的溫度下執行退火。在某些情形中,溫度變高會損壞基底。在某些實施中,溫度變低會不足以引起擴散。在退火製程之後,由於終點層具有更好的導電性,因此BSE訊號改善。做為另一例子,在光罩為交替式相位移光罩或無鉻光罩(chromeless mask)的一些實施例中,移除基底的一部分以造成π的相位移。在一些實施例中,所述移除終點層及基底是依序執行的。在一些實施例中,所述移除終點層及基底是在單一步驟中執行的。此外,執行用於移除缺陷(residue defect)的可選修復製程且以下將結合與流程圖的各操作對應的剖視圖對其予以更詳細論述。
圖3A至圖3F是根據一個或多個實施例的製造光罩300的各種階段處的剖視圖。光罩300包括與光罩100相似的部件且類似部件的後兩位元數位是相同的。圖3A是光罩300在可選操作220之後的剖視圖。光罩300包括基底310及終點層320。在至少一個實施例中,使用例如氧化鋁等含金屬的摻雜劑對終點層320執行植入製程325。
圖3B是光罩300在操作234之後的剖視圖。光罩300進一步包括相位移層330、不透明層340、及硬質罩幕層350。在一些實施例中,在不透明層340與硬質罩幕層350之間可選地形成抗反射層。在一些實施例中,在硬質罩幕層350之上進一步形成抗反射層。
圖3C是光罩300在操作234之後的剖視圖。藉由一個或多個蝕刻製程移除硬質罩幕層350的一部分及不透明層340的一部分。藉由開口360來分隔不透明層340’與硬質罩幕層350’以暴露出相位移層330的一部分。
圖3D是光罩300在操作236之後的剖視圖。藉由開口360來界定相位移層330的一部分並移除所述一部分以暴露出終點層320的一部分。藉由開口362將剩餘相位移層330’中的每一者分隔開。接下來,移除不透明層340’的一部分及硬質罩幕層350’的一部分以沿光罩300的周邊延伸。在一些實施例中,形成不透明層340’’及硬質罩幕層350’’包括微影製程及蝕刻製程。
圖3E是光罩300在可選修復相位移層330’之後的剖視圖。藉由蝕刻製程移除硬質罩幕層350’’(最好參見圖3D)。舉例來說,在硬質罩幕層350’’包含氮氧化矽的情形中,執行使用磷酸的化學蝕刻。在所述移除硬質罩幕層350’’之後,在一些實施例中,在檢測製程(inspection process)下識別由顆粒掉落(particle dropping)造成且沿相位移層330’的側壁形成缺陷332。在一些實施例中,缺陷332從相位移層330’延伸。在一些實施例中,缺陷332的高度等於或低於相位移層330’。在檢測製程指示缺陷332的存在及定位之後,施加使用含鹵素的蝕刻氣體的蝕刻製程以移除缺陷332。缺陷332的蝕刻選擇性與終點層320的蝕刻選擇性不同。舉例來說,在缺陷332包含氮化矽且終點層320包含氧化鉿的情形中,施加氟系蝕刻氣體。
圖3F是光罩300在可選操作250之後的剖視圖。藉由開口362(最好參見圖3E)來界定終點層320的一部分且移除所述一部分以暴露出基底310的一部分。
圖4A至圖4B是根據一個或多個實施例的製造光罩400的各種階段處的剖視圖。光罩400包括與光罩100相似的部件且類似部件的後兩位元數位相同。圖4A是光罩400在操作244之後的剖視圖。光罩400包括基底410、終點層420、不透明層440、及硬質罩幕層450。在光罩400為OMOG光罩的一些實施例中,在終點層420與硬質罩幕層450之間存在鉬矽層。在光罩400為OMOG光罩的一些實施例中,光罩400進一步包含位於基底410之上的氮氧化鉬矽及位於氮氧化鉬矽之上的氧化鉻層。
圖4B是光罩400在操作246之後的剖視圖。移除不透明層440的一部分以暴露出終點層420的一部分。所屬領域中的普通技術人員應理解,還會移除終點層420的一部分,以暴露出基底410的一部分。
本發明實施例的一個方面涉及一種製造光罩的方法。所述方法包括在透光性基底之上沉積終點層。所述終點層及所述透光性基底對於預定波長是可透光的。所述方法進一步包括:在所述終點層之上沉積相位移層;在所述相位移層之上沉積硬質罩幕層;以及移除所述硬質罩幕層的一部分及所述相位移層的第一部分,以暴露出所述終點層的一部分。
本發明實施例所述的方法,其中所述沉積所述終點層包括:沉積帶隙能量等於或大於6電子伏特的材料。
本發明實施例所述的方法,其中所述沉積所述終點層包括:沉積平均原子序數大於所述透光性基底的平均原子序數的材料。
本發明實施例所述的方法,其中所述沉積所述終點層包括:沉積平均原子序數小於所述透光性基底的平均原子序數的材料。
本發明實施例所述的方法,其中所述沉積所述終點層包括:將所述終點層沉積至等於或小於100埃(Å)的厚度。
本發明實施例所述的方法,其特徵在於,所述移除所述硬質罩幕層的所述一部分及所述相位移層的所述第一部分包括:監測所述光罩的背向散射電子(BSE)訊號;以及當有預定背向散射電子訊號產生時,傳送停止訊號。
本發明實施例所述的方法進一步包括:以含微量金屬的摻雜對所述終點層進行植入。
本發明實施例所述的方法進一步包括:在所述對所述終點層進行植入後,在範圍介於約攝氏500度至約攝氏900度的溫度下對所述終點層進行退火。
本發明實施例所述的方法進一步包括:在所述相位移層之上沉積不透明層;以及移除所述不透明層的一部分,以暴露出所述相位移層的第二部分。
本發明實施例所述的方法進一步包括:使用含鹵素的蝕刻劑來修復所述相位移層的第三部分。
本發明實施例的另一方面涉及一種製造光罩的方法。所述方法包括:在透光性基底之上沉積終點層;在所述終點層之上沉積相位移層,其中所述相位移層的灰階圖像與所述透光性基底的差異小於所述終點層的灰階圖像與所述透光性基底的差異;在所述相位移層之上沉積不透明層;以及將所述不透明層及所述相位移層圖案化,以暴露出所述終點層的一部分。
本發明實施例所述的方法,其中所述沉積所述終點層包括:沉積平均原子序數大於14的材料。
本發明實施例所述的方法,其中所述沉積所述終點層包括:沉積氧化鉿層。
本發明實施例所述的方法進一步包括:檢測所述光罩版的複合背向散射電子(BSE)訊號,以產生灰階圖像。
本發明實施例所述的方法進一步包括:以氧化鋁對所述終點層進行植入。
本發明實施例所述的方法進一步包括:移除所述終點層的所述一部分。
本發明實施例的一個方面涉及一種光罩。所述光光罩包括:基底;終點層,位於所述基底之上,其中所述終點層的帶隙能量等於或大於6電子伏特;以及相位移層,位於所述終點層之上,其中所述相位移層被配置成對入射光的相位進行相位移。
本發明實施例所述的光罩,其特徵在於,所述終點層的平均原子序數大於所述基底的平均原子序數。
本發明實施例所述的光罩進一步包括:位於所述相位移層之上的不透明層,其中所述不透明層被配置成吸收所述入射光,且所述不透明層沿所述光光罩的周邊延伸。
本發明實施例所述的光罩,其特徵在於,所述終點層具有至少一種含金屬的摻雜物質。
以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更加地理解本發明的各個方面。所屬領域中的技術人員應知,其可容易地使用本發明做為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不悖離本發明的精神及範圍,而且他們可在不背離本發明的精神及範圍的條件下對其作出各種改變、代替、及變更。
100、300、400‧‧‧光罩110、310、410‧‧‧基底120、320、320’、420‧‧‧終點層130’、330、330’‧‧‧相位移層140’’、340、340’、340’’、440、440’‧‧‧不透明層162、360、362‧‧‧開口200‧‧‧方法210、232、234、236、242、244、246‧‧‧操作220、250‧‧‧可選操作230、240‧‧‧過程325‧‧‧植入製程332‧‧‧缺陷350、350’、350’’、450‧‧‧硬質罩幕層
結合附圖閱讀以下詳細說明,會最好地理解本發明的各個方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1是根據一個或多個實施例的光罩的剖視圖。 圖2是根據一個或多個實施例的製造光罩的方法的流程圖。 圖3A至圖3F是根據一個或多個實施例的光罩在各種製造階段處的剖視圖。 圖4A至圖4B是根據一個或多個實施例的光罩在各種製造階段處的剖視圖。
200‧‧‧方法
210、232、234、236、242、244、246‧‧‧操作
220、250‧‧‧可選操作
230、240‧‧‧過程
Claims (10)
- 一種製造光罩的方法,包括:在透光性基底之上沉積終點層,其中所述終點層及所述透光性基底是可透光的;在所述終點層之上沉積相位移層;在所述相位移層之上沉積不透明層;在所述不透明層之上沉積硬質罩幕層;以及移除所述硬質罩幕層的一部分及所述相位移層的第一部分,以暴露出所述終點層的一部分。
- 如申請專利範圍第1項所述之製造光罩的方法,其中所述沉積所述終點層包括:沉積帶隙能量等於或大於6電子伏特的材料。
- 如申請專利範圍第1項所述之製造光罩的方法,其中所述移除所述硬質罩幕層的所述一部分及所述相位移層的所述第一部分包括:監測所述光罩的背向散射電子(BSE)訊號;以及當有預定背向散射電子訊號產生時,傳送停止訊號。
- 如申請專利範圍第1項所述之製造光罩的方法,更包括將含微量金屬的摻雜質入所述終點層。
- 一種製造光罩的方法,包括:在透光性基底之上沉積終點層; 在所述終點層之上沉積相位移層,其中所述相位移層的灰階圖像與所述透光性基底的差異小於所述終點層的灰階圖像與所述透光性基底的差異;在所述相位移層之上沉積不透明層;以及以硬質罩幕層將所述不透明層及所述相位移層圖案化,以暴露出所述終點層的一部分。
- 如申請專利範圍第5項所述之製造光罩的方法,其中所述沉積所述終點層包括:沉積平均原子序數大於14的材料。
- 如申請專利範圍第5項所述之製造光罩的方法,其中所述沉積所述終點層包括:沉積氧化鉿層。
- 一種光罩,包括:基底;終點層,位於所述基底的整個表面之上,其中所述終點層的帶隙能量等於或大於6電子伏特;以及相位移層,位於所述終點層之上,其中所述相位移層被配置成對入射光的相位進行相位移。
- 如申請專利範圍第8項所述之光罩,其中所述終點層的平均原子序數大於所述基底的平均原子序數。
- 如申請專利範圍第8項所述之光罩,更包括:不透明層,位於所述相位移層之上,其中所述不透明層被配置成吸收所述入射光,且所述不透明層沿所述光光罩的周邊延伸。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662434277P | 2016-12-14 | 2016-12-14 | |
US62/434,277 | 2016-12-14 | ||
US15/481,009 US10816891B2 (en) | 2016-12-14 | 2017-04-06 | Photomask and fabrication method therefor |
US15/481,009 | 2017-04-06 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201830123A TW201830123A (zh) | 2018-08-16 |
TWI725192B true TWI725192B (zh) | 2021-04-21 |
Family
ID=62490089
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106118648A TWI725192B (zh) | 2016-12-14 | 2017-06-06 | 光罩及其製作方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US10816891B2 (zh) |
CN (1) | CN108227370B (zh) |
TW (1) | TWI725192B (zh) |
Families Citing this family (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP6791031B2 (ja) * | 2017-06-13 | 2020-11-25 | 信越化学工業株式会社 | フォトマスクブランク及びその製造方法 |
US10859905B2 (en) * | 2018-09-18 | 2020-12-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Photomask and method for forming the same |
CN112394614A (zh) * | 2019-08-15 | 2021-02-23 | 中芯国际集成电路制造(上海)有限公司 | 掩膜版、掩膜版缺陷修复方法、掩膜版的使用方法以及半导体结构 |
US11101205B2 (en) | 2019-09-09 | 2021-08-24 | Intel Corporation | Interconnection structure fabrication using grayscale lithography |
CN116466539B (zh) * | 2023-06-16 | 2023-09-22 | 上海传芯半导体有限公司 | 掩模版的制造方法及系统 |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI239031B (en) * | 2001-01-11 | 2005-09-01 | Samsung Electronics Co Ltd | Semiconductor device and method of manufacturing the same |
TW200839424A (en) * | 2007-03-29 | 2008-10-01 | Taiwan Semiconductor Mfg | Fabrication methods for Cr-less phase transition photo-masks |
TWI460239B (zh) * | 2008-09-12 | 2014-11-11 | Ferro Corp | 化學機械研磨組成物與其製法及用途 |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW366367B (en) | 1995-01-26 | 1999-08-11 | Ibm | Sputter deposition of hydrogenated amorphous carbon film |
US6045954A (en) | 1998-06-12 | 2000-04-04 | Industrial Technology Research Institute | Formation of silicon nitride film for a phase shift mask at 193 nm |
AU2003209383A1 (en) * | 2002-01-24 | 2003-09-02 | Dupont Photomasks, Inc. | Photomask and method for manufacturing the same |
US20030228529A1 (en) | 2002-06-10 | 2003-12-11 | Dupont Photomasks, Inc. | Photomask and method for repairing defects |
US6921702B2 (en) | 2002-07-30 | 2005-07-26 | Micron Technology Inc. | Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics |
US7049034B2 (en) | 2003-09-09 | 2006-05-23 | Photronics, Inc. | Photomask having an internal substantially transparent etch stop layer |
US7022436B2 (en) | 2003-01-14 | 2006-04-04 | Asml Netherlands B.V. | Embedded etch stop for phase shift masks and planar phase shift masks to reduce topography induced and wave guide effects |
US7135369B2 (en) | 2003-03-31 | 2006-11-14 | Micron Technology, Inc. | Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9 |
US6905624B2 (en) * | 2003-07-07 | 2005-06-14 | Applied Materials, Inc. | Interferometric endpoint detection in a substrate etching process |
JP2005241693A (ja) * | 2004-02-24 | 2005-09-08 | Shin Etsu Chem Co Ltd | ハーフトーン型位相シフトマスクブランク及びその製造方法並びにハーフトーン型位相シフトマスク及びその製造方法 |
US7498247B2 (en) | 2005-02-23 | 2009-03-03 | Micron Technology, Inc. | Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics |
US8198118B2 (en) * | 2006-10-31 | 2012-06-12 | Taiwan Semiconductor Manufacturing Co. | Method for forming a robust mask with reduced light scattering |
JP5345333B2 (ja) * | 2008-03-31 | 2013-11-20 | Hoya株式会社 | フォトマスクブランク、フォトマスク及びその製造方法 |
KR100955681B1 (ko) * | 2008-04-14 | 2010-05-06 | 주식회사 하이닉스반도체 | 자기조립분자를 이용한 포토마스크의 제조방법 |
JP5201361B2 (ja) * | 2009-05-15 | 2013-06-05 | 信越化学工業株式会社 | フォトマスクブランクの加工方法 |
US8279409B1 (en) * | 2009-08-05 | 2012-10-02 | Cadence Design Systems, Inc. | System and method for calibrating a lithography model |
DE102010063774B4 (de) * | 2010-12-21 | 2012-07-12 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG | Herstellung einer Kanalhalbleiterlegierung mittels einer Nitridhartmaskenschicht und einer Oxidmaske |
-
2017
- 2017-04-06 US US15/481,009 patent/US10816891B2/en active Active
- 2017-06-06 TW TW106118648A patent/TWI725192B/zh active
- 2017-06-06 CN CN201710416885.7A patent/CN108227370B/zh active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI239031B (en) * | 2001-01-11 | 2005-09-01 | Samsung Electronics Co Ltd | Semiconductor device and method of manufacturing the same |
TW200839424A (en) * | 2007-03-29 | 2008-10-01 | Taiwan Semiconductor Mfg | Fabrication methods for Cr-less phase transition photo-masks |
TWI460239B (zh) * | 2008-09-12 | 2014-11-11 | Ferro Corp | 化學機械研磨組成物與其製法及用途 |
Also Published As
Publication number | Publication date |
---|---|
CN108227370A (zh) | 2018-06-29 |
US20180164675A1 (en) | 2018-06-14 |
CN108227370B (zh) | 2023-01-03 |
US10816891B2 (en) | 2020-10-27 |
TW201830123A (zh) | 2018-08-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI725192B (zh) | 光罩及其製作方法 | |
US10859902B2 (en) | Lithography mask and method | |
US9535316B2 (en) | Photomask with three states for forming multiple layer patterns with a single exposure | |
KR20180048573A (ko) | 마스크 블랭크, 위상 시프트 마스크 및 그 제조 방법, 그리고 반도체 디바이스의 제조 방법 | |
US11735421B2 (en) | Reflection mode photomask and method of making | |
TWI782324B (zh) | 缺陷檢測之方法 | |
US9448468B2 (en) | Reflective mask blank and reflective mask, and methods for manufacturing reflective mask blank and reflective mask | |
TW202001407A (zh) | 極紫外光微影光罩及其製造方法 | |
CN110658676B (zh) | 极紫外光微影光罩及其制造方法 | |
US20170153539A1 (en) | Mask blank and mask and fabrication method thereof | |
US9057961B2 (en) | Systems and methods for lithography masks | |
US11156912B2 (en) | Lithography mask and method for manufacturing the same | |
JP2015141972A (ja) | Euvマスクおよびeuvマスクの製造方法 | |
US20100233590A1 (en) | Method for Manufacturing Photo Mask Using Fluorescence Layer | |
JP4345333B2 (ja) | 位相シフトマスク及びそれを用いたパターン転写法 | |
CN106292177B (zh) | 掩模版的修正方法 | |
Garetto et al. | Impact of new MoSi mask compositions on processing and repair | |
CN115220296A (zh) | 光刻掩模和方法 |