CN108615703B - 具有全包覆线的互连体 - Google Patents

具有全包覆线的互连体 Download PDF

Info

Publication number
CN108615703B
CN108615703B CN201810250435.XA CN201810250435A CN108615703B CN 108615703 B CN108615703 B CN 108615703B CN 201810250435 A CN201810250435 A CN 201810250435A CN 108615703 B CN108615703 B CN 108615703B
Authority
CN
China
Prior art keywords
layer
adhesion
interconnect
diffusion barrier
capping layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810250435.XA
Other languages
English (en)
Other versions
CN108615703A (zh
Inventor
M·昌德霍克
俞辉在
C·杰泽斯基
R·V·谢比亚姆
C·T·卡弗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taihao Research Co ltd
Original Assignee
Taihao Research Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taihao Research Co ltd filed Critical Taihao Research Co ltd
Publication of CN108615703A publication Critical patent/CN108615703A/zh
Application granted granted Critical
Publication of CN108615703B publication Critical patent/CN108615703B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种包括全包覆互连体的金属化层和一种形成全包覆互连体的方法。开口形成在电介质层中,其中,所述电介质层具有表面,并且所述开口包括壁和底部。扩散阻挡层和粘合层沉积在所述电介质层上。互连材料沉积在所述电介质层上并且回流到所述开口中,形成互连体。粘合帽盖层和扩散阻挡帽盖层沉积在所述互连体之上。所述互连体被所述粘合层和所述粘合帽盖层环绕,并且所述粘合层和所述粘合帽盖层被所述扩散阻挡层和所述扩散阻挡帽盖层环绕。

Description

具有全包覆线的互连体
本申请是申请日为2014年9月25日、发明名称为“具有全包覆线的互连体”的专利申请201480046634.9的分案申请。
技术领域
本公开内容涉及具有全包覆线的互连体,并且在具体实施例中,涉及包括全包覆导线的铜互连体。
背景技术
随着集成电路特征缩小并且密度增大,诸如影响所观察到的电阻的电阻率等材料属性,展现了相对更明显的效应。另外,随着特征尺寸减小并且密度增大而增大的多个应力,影响了集成电路的可靠性。这些应力包括电、热、机械和环境应力。电迁移是降低半导体可靠性、导致互连失效、并且随着特征尺寸减小尤其是到50nm以下并且功率密度增大而变得相对更显著的现象的示例。电迁移被理解为由于导体中的离子的运动而导致的材料的运输。电迁移可以导致互连体内形成丘或洞,并且最终导致其失效。
为了降低电迁移和其它应力所诱导的失效,在互连体的制造中使用了耐火金属。然而,耐火金属展现了增大的电阻率并且因此增大的电阻和电阻-电容(RC)延时。为了进一步降低电迁移和其它应力所诱导的失效,已经在包含互连体的层间电介质中的开口的侧壁和底壁上沉积了扩散阻挡层。扩散阻挡层被理解为典型地占用了互连线的横截面面积的小部分。用诸如氮化硅等绝缘体来涂布给定电介质层的表面处所暴露的互连体的部分。然而,使用绝缘帽盖层可以通过增大电容耦合有害地影响线性能。
因此,随着特征尺寸继续减小,互连体的设计还有改进空间,其中,在一些实例中,重点在于针对诸如导致电迁移和热机械失效的各种应力的互连体RC和电阻两者。
附图说明
结合附图,通过参考本文所描述的实施例的以下描述,本公开内容的上述和其它特征以及其获得方式可以变得更加明显并且更好地被理解,在附图中:
图1a图示了层间电介质中的全包覆互连线的实施例的俯视图;
图1b图示了沿线1b-1b所截取的图1a的截面图;
图2a图示了层间电介质中的全包覆互连线的实施例的俯视图;
图2b图示了沿线2b-2b所截取的图2a的截面图;
图3图示了全包覆互连线的另一个实施例的截面图,全包覆互连线包括互连开口的底部处的双阻挡层,每个阻挡层包括粘合层和扩散层;
图4图示了形成全包覆互连体的方法的实施例;
图5a图示了图案化的开口的层间电介质的实施例的截面图;
图5b图示了包括沉积在其上的扩散阻挡层的图5a的图案化的层间电介质的截面图;
图5c图示了包括沉积在扩散阻挡层上的粘合层的图5b的层间电介质的截面图;
图5d图示了包括沉积在层间电介质上并且回流到开口中的互连材料的图5c的层间电介质的截面图;
图5e图示了包括沉积在互连体和电介质之上的粘合帽盖层的图5d的层间电介质的截面图;
图5f图示了包括沉积在粘合帽盖层之上的扩散阻挡帽盖层的图5e的层间电介质的截面图;
图5g图示了在平坦化之后的图5f的层间电介质的截面图;
图5h图示了形成在电介质衬底之上的牺牲电介质层的截面图;
图5i图示了形成在电介质之上的互连体的实施例的截面图,互连体之间包括气隙;
图6图示了形成在层间电介质中的多个互连体的截面的透射式电子显微镜图像;
图7图示了形成在层间电介质中的两个互连体的实施例的截面的透射式电子显微镜图像;
图8图示了由以下过程所产生的互连体的电迁移测试的比较结果:在包括钽阻挡层和蚀刻停止帽盖层的开口中电镀铜,由点(A)表示;以及,在包括氮化钽阻挡层、Ta粘合层以及由钽粘合层和氮化钽阻挡层构成的帽盖层的开口中沉积和回流铜,由点(B)和(C)表示;
图9图示了由以下过程所产生的过孔和金属互连体的短链的累积概率和电阻的对照:在包括钽阻挡层和蚀刻停止帽盖层的开口中电镀铜,由点(A)表示;以及,在包括氮化钽阻挡层、钽粘合层以及由钽粘合层和氮化钽阻挡层构成的帽盖层的开口中沉积和回流铜,由点(B)和(C)表示;
图10图示了包括两个铜层的晶片在空气环境下在300℃进行了电迁移烘烤测试50小时之后其截面的透射式电子显微镜图像;并且
图11图示了在空气环境下300℃的电迁移烘烤测试进行了50小时之后的包括两个铜层的晶片的截面的透射式电子显微镜图像。
具体实施方式
本公开内容涉及具有全包覆线的互连体,并且在具体实施例中,涉及包括全包覆导线的铜互连体。如上所述,随着集成电路特征缩小并且密度增大,诸如影响所观察到的电阻的电阻率等材料属性,展现了相对更明显的效应。另外,随着特征尺寸减小并且密度增大而增大的多个应力,影响了集成电路的可靠性。这些应力包括电、热、机械和环境应力。电迁移是降低半导体可靠性、导致互连失效、并且随着特征尺寸减小尤其是到50nm以下的大小并且功率密度增大而变得相对更显著的现象的示例。电迁移被理解为由于导体中的离子的运动而导致的材料的运输。电迁移可以导致互连体内形成丘或洞,并且最终导致其失效。
为了降低电迁移和其它应力所诱导的失效,在互连体的制造中使用了耐火金属或掺杂的铜作为导体或线。然而,耐火金属展现了增大的电阻率并且因此增大的电阻和电阻-电容延时。为了进一步降低电迁移和其它应力所诱导的失效,已经在包含互连体的层间电介质中的开口的侧壁和底壁上沉积了扩散阻挡层。扩散阻挡层被理解为典型地占用了互连线的横截面面积的小部分。一般用密封蚀刻停止体(hermetic etch stop)来涂布给定电介质层的表面处所暴露的互连体的部分。密封蚀刻停止体通常包括具有相对高的介电常数的绝缘体,例如,氮化硅、碳化硅膜或氢化的碳化硅膜。然而,这样的布置可能有害地影响诸如电容等线属性。在解决电迁移的缺点的尝试中,本公开内容涉及互连体,并且具体而言涉及包括全包覆线的铜互连体及形成这样的互连体的方法。
在实施例中,互连体用于连接与集成电路相关联的各种部件。部件包括例如晶体管、二极管、电源、电阻器、电容器、电感器、传感器、收发器、接收器、天线等。与集成电路相关联的部件包括安装在集成电路上的部件或连接到集成电路的部件。集成电路为模拟的或数字的,并且可以根据与集成电路相关联的部件,用于诸如微处理器、光电子、逻辑块、音频放大器等多个应用中。集成电路可以用作用于在计算机中执行一个或多个相关功能的芯片组的一部分。
图1a和图1b分别图示了金属化层的实施例的俯视图和截面图,金属化层包括形成在电介质层106中的开口104中的全包覆互连线102。全包覆互连线在互连体102的所有侧112上都包括扩散阻挡体108,使得互连体102被扩散阻挡体108环绕。图2a和图2b分别图示了另一个实施例的俯视图和截面图,其中,气隙110存在于全包覆互连体102之间。此外,互连线102在互连线102的所有侧112上都被扩散阻挡体108环绕。电介质层106支撑互连线102。
在实施例中,电介质层106是层间电介质。可以堆叠两层或更多层的层间电介质以形成集成电路。在本文进一步讨论的一些实施例中,电介质层包括沉积在电介质衬底之上的一个或多个牺牲层。电介质层包括电介质材料,电介质材料被理解为是绝缘体但是在施加电场时被极化的材料。在实施例中,电介质包括低k电介质,即,介电常数低于3.9(包括从1.5至3.8的所有值和范围,例如,1.7、1.9、2.1、2.8、2.7等)的材料,3.9是二氧化硅的介电常数。可以从中选择电介质材料的非限制的示例包括:氟掺杂二氧化硅、碳掺杂氧化物(即,碳掺杂二氧化硅)、有机硅酸盐玻璃、碳氧化硅、氢化碳氧化硅、多孔二氧化硅、以及例如聚酰亚胺、聚四氟乙烯、聚降冰片烯、苯并环丁烯、氢倍半硅氧烷和甲基倍半硅氧烷的有机聚合物电介质。电介质层可以具有50nm至300nm的范围内的厚度,该范围包括其中的所有值和范围,例如,100nm至300nm、100nm至200nm等。
如图所示,互连体102在截面图中为矩形。然而,在各种实施例中,可以假定其它几何图形,例如,正方形、长方形、椭圆形或其变形。互连体由电阻率ρ小于4.0μΩ·cm的材料构成,例如,ρ在1.0μΩ·cm至4.0μΩ·cm的范围内。例如,互连体包括铜、由铜组成、或基本由铜组成。如图示,排除任何额外的阻挡层,互连体具有长度L(见图1a)、宽度W和高度H,其中,在实施例中,长度展现了互连体的最大维度。在实施例中,互连体具有十分之几微米至几微米的范围内(包括其中的所有值和范围)的长度L、0.020μm至0.100μm的范围内(包括其中的所有值和范围)的宽度W、以及提供0.5至2.0的高宽比(H/W)的范围内(包括其中的所有值和范围)的高度H。
此外,如图1b所示,互连体102的上表面122凹陷在电介质层106的表面120下方。互连体102凹陷了距离D,在实施例中,D在互连体的高度的1%至20%的范围内(包括其中的所有值和范围,例如,5%至10%等)。例如,互连体从电介质106的表面凹陷了距离D,D在1nm至20nm范围内(包括其中的所有值和范围,例如,5nm至10nm)。这限定了互连体102的上表面122和电介质表面120之间的凹部138(见下文进一步描述的图5d)。
如上所述,用阻挡层108来全包覆互连体102,阻挡层108包括粘合层114和扩散阻挡层116,并且用粘合帽盖层115和扩散阻挡帽盖层117来覆盖互连体102。全包覆被理解为阻挡层108全环绕表面112中的所有表面,包括互连体102的上表面122、相对的侧表面112a、112b和底表面112c,包括没有被电介质106环绕或加边的那些表面(上表面112)。当过孔存在时,包覆将过孔和线两者包封在一起。在实施例中,扩散阻挡层116设置在电介质层106上,并且粘合层设置在开口104的侧壁134和底部132上,并且粘合层114设置在扩散阻挡层116上。此外,粘合帽盖层115设置在互连体102之上,并且扩散阻挡帽盖层设置在粘合帽盖层115之上。互连体102被粘合层114和粘合帽盖层115环绕,粘合层114和粘合帽盖层115反过来分别被扩散阻挡层116和扩散阻挡帽盖层117环绕。
在实施例中,粘合层114、115由以下材料构成:该材料充分湿润导电金属(例如,铜)以提供对相同导电金属的良好的粘合。为粘合层选择的材料较之互连体展现较高的电阻率,例如,在5.0μΩ·cm至100.0μΩ·cm的范围内。粘合层包括,例如,钽、钛、钌、或钴,并且,在具体实施例中基本由钽组成。在实施例中,粘合层114、115均具有1nm至10nm的范围内(包括其中的所有值和范围)的厚度。
然而,因为粘合层可能受到氧化的影响,所以在粘合层114和电介质106之间提供扩散阻挡层116。此外,扩散阻挡帽盖层117形成在粘合帽盖层115之上,覆盖粘合帽盖层115。扩散阻挡层116、117包括例如氮化钽或氮化钛,并且,在具体实施例中包括氮化钽、由氮化钽组成或基本由氮化钽组成。在实施例中,扩散阻挡层116、117均具有1nm至10nm的范围内(包括其中的所有值和范围)的厚度。在实施例中,在互连体102的表面112周围的一个或多个位置处提供多个粘合层和扩散阻挡层。例如,在开口104的底部132,提供两个或更多个交替的粘合和扩散阻挡材料的层。图3的截面图图示了两个粘合层114a、114b并且两个扩散阻挡层116a、116b被提供。
图4图示了在以上所述的金属化层中形成全包覆互连体的方法的实施例。随着形成全包覆互连体的方法进行,图5a至图5i图示了金属化层的构造。在此实施例中,方法400始于对电介质层图案化以在电介质层表面限定多个开口,在开口中将形成互连体。
在实施例中,图案化包括在电介质层之上沉积光刻胶。光刻胶是正性抗蚀剂或负性抗蚀剂,并且可以包括例如,聚(甲基丙烯酸甲酯)、聚(甲基戊二酰亚胺)、DNQ/酯醛树脂、或SU-8(基于环氧的负性抗蚀剂)。通过诸如旋涂等铸造工艺来沉积光刻胶。在1至10000rpm(包括其中的所有值和范围)下执行旋涂持续1秒至10秒的范围内(包括其中的所有值和范围)的时间段。
然后,通过使用光刻技术(例如,光学光刻技术、浸没光刻技术、深UV光刻技术、极UV光刻技术、或其它技术)将期望图案的图像光学地投影到光刻胶,来对光刻胶图案化,其中,投影光的波长可以上至436nm(包括从157nm到436nm的所有值和范围,例如,157nm、193nm、248nm等)。例如通过旋涂,将显影剂,例如浓度在0.1N至0.3N的范围内的四甲基氢氧化铵TMAH(具有或没有表面活性剂),施加于光刻胶,并且去除光刻胶的部分以暴露下层电介质层的与期望图案相关的区域。
在实施例中,烘烤电介质可以在以上步骤中的任何步骤之前或之后发生。例如,可以预烘烤电介质层以去除表层水。在示例中,在200℃至400℃的范围内(包括其中的所有值和范围)的温度下执行预烘烤持续30至60分钟(包括其中的所有值和范围)的时间。在施加光刻胶后,施加后烘烤(post application bake)可能发生,其中,至少驱除光刻胶中的溶剂的一部分。例如,在70℃至140℃的范围内(包括其中的所有值和范围)的温度下执行施加后烘烤持续60秒至240秒的范围内(包括其中的所有值和范围)的时间段。在图案化后,可以在100℃至300℃的范围内(包括其中的所有值和范围)的温度下对抗蚀剂硬烘烤持续1分钟至10分钟(包括其中的所有值和范围)的时间段。
然后,化学蚀刻电介质层的暴露部分,其中,去除表面的暴露部分,直到达到期望深度,在电介质层中形成开口。经由诸如灰化等工艺来可选地去除剩余的光刻胶,其中,将光刻胶暴露于氧或氟,氧或氟与光刻胶结合以形成灰分(ash)。图5a图示了图案化的层间电介质106的实施例,图案化的层间电介质106包括形成在电介质106的表面120中的一个或多个开口104。电介质至少部分地物理隔离并且电隔离开口。
再次参考图4,在对电介质层图案化后,将扩散阻挡体沉积到电介质上(404)。在实施例中,使用共形涂布工艺来执行扩散阻挡体的沉积,其中,在电介质层的任何暴露的表面上(包括形成在电介质层中的任何开口的侧壁和底部上),沉积扩散阻挡体。因此,共形涂布可以被理解为施加到电介质层的暴露表面并且例如不只是施加到水平表面的涂布。在实施例中,涂布展现了少于35%(包括从1%至35%的所有值和范围,例如,10%或更少、15%或更少、20%或更少、25%或更少等)的厚度的变化。从诸如化学气相沉积或原子层沉积等工艺中选择共形涂布工艺。可以使用的其它工艺包括物理气相沉积,例如,磁控溅射、蒸发沉积或电子束沉积。
在化学气相沉积中,例如,在5sccm至500sccm(包括其中的所有值和范围)的流速下,在包括层间电介质的腔室中提供一种或多种反应气体。在示例中,反应气体选自于以下中的一种或多种:五(二甲基氨基)钽、三(二乙基氨基)(叔丁基酰亚胺基)钽(Ⅴ)、三(乙基甲基氨基)(叔丁基酰亚胺基)钽(Ⅴ)、或以1:1化学计量比提供的四氯化钛和氨。可以用载体气体(例如,惰性气体,其可以包括例如氩气)来提供反应气体。
在实施例中,将腔室保持在1毫托至100毫托的范围内(包括其中的所有值和范围)的压力下,以及100℃至500℃的范围内(包括其中的所有值和范围)的温度下。在实施例中,工艺可以是等离子体辅助的,其中,电极被提供在工艺腔室内并且用于对气体离子化。或者,在腔室之外形成等离子体并且然后将等离子体供应到腔室中。在腔室中,由于气体的反应,金属层沉积在电介质的表面上。
在物理气相沉积中,在处理腔室中放置工件(电介质)。以10sccm至100sccm的范围内(包括其中的所有值和范围,例如,40sccm至50sccm或45sccm)的流速向处理腔室供应诸如氮气等反应气体。还可选地将诸如氩气等惰性气体供应到处理腔室中。在供应反应气体之前,处理腔室的基准压力在10-8托的范围内,并且在溅射期间被保持在10-7至10-1托的范围内(例如,在1毫托至10毫托的范围内,或者2.5毫托)的压力下。处理腔室被保持在10℃至100℃的范围内(包括其中的所有值和范围,例如,10℃至20℃的范围内,或17℃)的温度下。
在处理腔室中放置金属靶,并且金属靶由诸如钛或钽等金属构成。由额定电压在-50V至-1000V的范围内(包括其中的所有值和范围)的DC电源来对金属靶偏置。还可以由额定电压在-50V至-100V的范围内(包括其中的所有值和范围,例如,-70V至-80V)的AC电源来对工件或工作台偏置。
在沉积期间,由于邻近靶或在靶后放置的磁体,等离子体围绕靶形成并且被局部化。等离子体轰击靶,将金属原子溅射开为蒸汽,该蒸汽然后沉积在工件上。该过程继续持续1秒至100秒的范围内的时间段。
图5b图示了层间电介质106的实施例,层间电介质106包括扩散阻挡材料在层间电介质106的表面120之上以及开口104的壁134和底部132上的共形涂布116。
在沉积了扩散阻挡层之后,沉积粘合层(406),见图4。在实施例中,使用以上共形涂布工艺中的任何工艺来沉积粘合层。此外,在扩散阻挡层的任何暴露的表面上(包括在开口的侧壁和底部上)沉积粘合层。在示例中,反应气体选自氯化钽(Ⅴ),即,二(叔丁基环戊二烯基)二氧化钛(Ⅳ),其与氢气以1:1的化学计量比被输送。此外,可以用诸如氩气等惰性气体来输送反应气体。在具有一些例外的情况下,如以上关于粘合阻挡层所述,进行粘合层的物理气相沉积。例如,在扩散阻挡的沉积期间,诸如氮气等反应气体不被馈送到处理腔室。在其它情况下,该过程保持类似。
图5c图示了粘合阻挡体114在扩散阻挡体116之上的添加,其中,粘合阻挡体沉积在电介质的表面120、开口104的壁134和底部132之上。
再次参考图4,然后,可以用诸如化学气相沉积或物理气相沉积等气相沉积方法来沉积互连材料(408)。在电介质的各种表面之上沉积互连材料。施加充足的互连材料以只部分地填充开口,在电介质的表面和互连体的表面之间留下凹部。
用于沉积线的物理气相沉积工艺也包括例如磁控溅射、蒸发沉积或电子束沉积。物理气相沉积的示例包括以5ccm至100sccm的范围内(包括其中的所有值和范围)的流速将诸如氩气等惰性气体供应到处理腔室中,处理腔室的压力被保持在1×10-1至10-7托的范围内(包括其中的所有值和范围)。处理腔室包括工件(即,电介质)和由铜或铝构成的金属源(称作靶)。由额定功率在0.1kW至50kW的范围内(包括其中的所有值和范围)的DC电源来对金属源偏置。还可以由额定功率在0.1kW至50kW的范围内(包括其中的所有值和范围)的AC电源来对工件或放置工件的工作台来偏置。由于邻近靶或在靶后放置的磁体,等离子体围绕靶形成并且被局部化。等离子体轰击靶,将金属原子溅射开为蒸汽,该蒸汽然后沉积在工件上。该过程继续持续1秒至100秒的范围内的时间段,以允许互连材料层的生长。
在实施例中,由以上所述的物理气相沉积工艺来形成400埃至600埃的范围内(例如,500埃)的线材料(例如,铜)的种子层。随后是铜的电镀,其中,将层间电介质放置于硫酸铜和硫酸的溶液。施加25mA/cm2至75mA/cm2(例如,50mA/cm2)的电流密度持续30秒至120秒(例如,60秒)的时间段。
在替代实施例中,可以执行化学气相沉积以通过以上所述的过程来形成互连体。反应气体选自于例如,二-六氟乙酰丙酮铜(Ⅱ)、l,5-环辛二烯-六氟乙酰丙酮铜(Ⅰ)。
一旦互连材料沉积在电介质上,就对互连材料进行回流(410)以使得互连材料流入开口104。为了对互连材料进行回流,使互连材料经受或暴露于200℃至1100℃的范围内(包括其中的所有值和范围)的提高的温度,由辐射、熔炉、灯、微波、或热气体来施加该提高的温度。可以对互连材料持续回流1分钟至10分钟的范围内(包括其中的所有值和范围)的时间段。图5d图示了在回流之后的互连材料的实施例,如图示,形成互连体102的材料部分地填充电介质106所形成的开口104。如以上所述,互连体的上表面122从电介质106的上表面120凹陷1nm至20nm的范围内(包括其中的所有值和范围,例如1nm至5nm)的深度。这在互连体102的上表面122上方的开口104中限定了凹部138。
再次参考图4,在互连材料的沉积和回流之后,在电介质和互连体之上沉积粘合材料的帽盖层(412)。在实施例中,使用气相沉积方法,例如,使用以上所述的共形涂布方法,或者使用物理气相沉积方法。在实施例中,在使用化学气相沉积时,反应气体和沉积条件与以上关于在步骤406中沉积粘合层114所述的反应气体和沉积条件相同。图5e图示了设置在互连体102和电介质106之上的粘合帽盖层115。粘合帽盖层115位于凹部138内。此步骤之后,在用扩散阻挡对粘合层覆盖之前,可以通过化学机械平坦化来降低粘合层和电介质的高度,从而允许扩散阻挡更全面地包封粘合层114、115。
然后,在粘合帽盖层之上沉积扩散阻挡体的帽盖层(414),如图4所示。此外,在实施例中,可以使用气相沉积方法(例如,以上所述的共形涂布方法,或者以上所述的物理气相沉积方法)沉积对扩散阻挡体。在实施例中,在使用化学气相沉积时,反应气体和沉积条件与以上关于在步骤404中沉积扩散阻挡层116所述的反应气体和沉积条件相同。图5f图示了沉积在粘合帽盖层115、互连体102、以及电介质106之上的扩散阻挡帽盖层117。扩散阻挡帽盖层117位于凹部138内。相应地,帽盖层115、117的位于互连体102之上的部分130与层间电介质表面120齐平,或从电介质表面120凹陷。
再次参考图4,在覆盖(414)之后,执行平坦化(416)以在开口104之间暴露电介质的表面120。使用湿法或干法平坦化工艺来执行平坦化。在一个实施例中,使用化学机械平坦化来执行平坦化,化学机械平坦化可以被理解为利用抛光表面、研磨剂和浆体来去除覆盖层(overburden)并且使电介质层和互连体的表面平坦化的工艺。由于互连体和帽盖层相对于电介质层的表面的凹陷,在平坦化期间保护了帽盖层。
图5g图示了所获得的金属化层,其包括形成在电介质层106的开口104中的全包覆互连体102。互连体102被粘合层114和粘合帽盖层115环绕。并且,粘合层和粘合帽盖层被扩散阻挡层116和扩散帽盖层117环绕。此外,互连体102凹陷在扩散阻挡层116和粘合层114的侧壁144a、144b内,并且粘合帽盖层114也凹陷在扩散阻挡层116和粘合层114的侧壁144a、144b内。在实施例中,扩散阻挡层也凹陷在扩散阻挡层116和粘合层114的侧壁144a、144b内。
可选地,再次参考图4,执行额外的过程(418)以去除在全包覆互连体的任一侧上的电介质材料,在互连体之间形成气隙。在形成气隙的实施例中,如上所述,使用光刻技术来对电介质和互连体的表面图案化,以对互连体进行掩膜并且在电介质层中形成开口,去除在全包覆互连体的任一侧上的电介质材料。
在另一个实施例中,在电介质衬底之上沉积牺牲电介质层。图5h图示了牺牲电介质150在电介质衬底152之上的布置。电介质衬底由以上所述的电介质材料构成。在实施例中,牺牲层由诸如碳掺杂硅、二氧化硅、氮氧化硅、或氟氧化硅、或多孔二氧化硅等含硅材料构成。
在图4所示的过程之后,对牺牲层图案化以形成开口,在开口中形成互连体。在形成互连体和对互连体覆盖之后,使用有机氢溶液来在418去除位于互连体的任一侧上的牺牲电介质,有机氢溶液例如是,pH在12至14的范围内的包括诸如次氯酸钾离子等次氯酸盐离子的基于四甲基氢氧化铵(TMAH)的溶液。TMAH存在于以体积计的1%至10%的范围内(包括其中的所有值和范围,例如以体积计的5%至10%(包括其中的所有值和范围))的浓度下,并且次氯酸盐离子存在于以体积计的5%至15%的范围内(包括其中的所有值和范围)。溶液的剩余部分为水。
图5i图示了所获得的全包覆互连体102,其由剩余的电介质材料146、148来支撑。以另一种方式陈述,互连体102被阻挡层108完全环绕,阻挡层108由粘合层114、扩散层116和粘合帽盖层115、以及扩散阻挡帽盖层117构成。气隙110存在于相邻的互连体102之间,并且电介质材料146、148的层保持在互连体102下方。
在其它实施例中,牺牲层由聚合物材料构成,聚合物材料例如是,聚环氧丙烷、聚甲醛、聚已酸内酯、聚碳酸酯、聚酰胺酰亚胺、聚酰胺-6,6、聚邻苯二甲酰胺、聚对苯二甲酸丁二醇酯、聚对苯二甲酸乙二醇酯、聚苯乙烯、间规聚苯乙烯、聚苯硫醚、聚醚砜、聚降冰片烯、聚(对二甲苯)聚合物电介质、聚(芳基醚)基聚合物电介质、氟化聚合物、聚羟基苯乙烯聚合物。在形成了互连体之后,可以在通过将牺牲材料暴露于蚀刻剂的化学处理中,或者在热和化学技术的组合中,去除牺牲层,蚀刻剂例如是液相或气相形式的氢氟酸。在另外的实施例中,可以利用电子束或将牺牲层暴露于光,来分解牺牲层。
对“顶部”、“底部”、“上”、“侧”等的参考被引入以易于理解本说明书,并且不应被视为限制到特定朝向。
示例
示例1
根据以上所述的方法准备样品。具体地,使用光刻技术将低k碳掺杂氧化物(CDO)层间电介质图案化为测试图案,以在层间电介质中产生具有120nm的平均深度和30nm的平均宽度的开口。然后,使用物理气相沉积来在层间电介质上沉积氮化钽扩散阻挡体。以45sccm的流速向容纳有层间电介质的处理腔室供应N2反应气体。在腔室内放置钽靶。在沉积期间,将处理腔室保持在2.5毫托的压力和17℃的温度下。在-70V至-80V的范围内对晶片偏置。沉积了厚度为1-2nm的氮化钽扩散阻挡体。在沉积了扩散阻挡体之后,使用以上所述的溅射技术来沉积钽粘合层。通过使用-70V至-80V的范围内的晶片偏置的非反应氩气(Ar)溅射在100℃下沉积膜。真空腔室的基准压力为10-8托。形成了厚度为1-2nm的钽粘合层的共形涂布。
然后,使用物理气相沉积和电镀术来沉积铜互连材料。结合Ar气体来使用铜靶。在沉积期间,容纳有层间电介质的处理腔室被保持在0.5毫托的压力下,并且以4sccm的馈送速率来供应Ar气体。形成厚度为500埃的铜的涂布。在种晶(seeding)之后,通过将铜电镀到电介质上来形成互连体。将电介质放置在硫酸铜和硫酸的溶液中。施加50mA/cm2的电流密度。沉积额外的铜。然后,在350℃的温度下将铜回流1分钟至2分钟,其中,铜流入层间电介质的开口中。铜互连体的上表面从层间电介质的上表面凹陷5nm至10nm之间。
然后,使用以上所述的物理气相沉积工艺,施加2-3nm厚的钽帽盖层以及2-3nm厚的氮化钽帽盖层。使用化学机械平坦化来使覆盖层平坦化以暴露层间电介质的表面。由于互连体的凹陷,在平坦化工艺期间保护了帽盖层。
比较示例
在相同测试图案之后,使用镶嵌工艺(damascene process)来产生铜互连体。使用以上所述的相同工艺来对层间电介质图案化。使用物理气相沉积来在图案化的开口中沉积钽的扩散阻挡体。然而,使用电镀术来将铜施加到电介质层。首先,使用物理气相沉积沉积了种子层,其中,使用了铜靶。以4sccm的速率将氩气提供到容纳有层间电介质的处理腔室中,并且处理腔室被包括在0.5毫托的压力下。沉积了500埃厚度的铜层。
在种晶(seeding)之后,通过将铜电镀到电介质层上来形成互连体。将电介质放置在硫酸铜和硫酸的溶液中。施加50mA/cm2的电流密度持续60秒的时间段。用铜来填充图案化的开口,并且在电介质之上形成覆盖层。然后,使用化学机械平坦化来去除覆盖层,使互连体的上表面与层间电介质的上表面齐平。
然后,使用等离子体辅助化学气相沉积来在铜互连体和电介质层之上沉积SiC:H的蚀刻停止体,产生密封阻挡体。反应气体为三甲基硅烷(TMS),以50sccm的速率将其供应到处理腔室。在沉积过程期间,处理腔室被保持在200毫托的压力和350℃的温度下。
测试
对示例1和比较示例所形成的互连体进行电迁移测试。首先,使互连体在含氧大气环境中经受300℃烘烤测试50小时。在烘烤测试后,对根据示例1的过程在电介质层106中形成的互连体102拍摄TEM图像,见图6和图7。图7在左下角图示了20nm的尺度。在50kX至500kX的放大率、5kV的加速电压和0.1mm至1.0mm的工作距离下拍摄了此图像。
然后,执行电迁移测试,其中,对互连体施加35μΑ的电流。测量了与每单位长度的电阻对照互连体的每单位长度的电容。图8图示了此测试的结果,其中,点A是使用镶嵌工艺所产生的包括蚀刻停止体的铜互连体的测量值,点B和C是使用本文所述的方法所产生的包括全包覆线的铜互连体的测量值。数据显示:与包括蚀刻停止层的使用镶嵌工艺所产生的线相比,不具有下层蚀刻停止体的全包覆线展现了提高的电容。
此外,为铜互连体测量了并且在图9中绘出了过孔链的累积失效分布。由点A来表示使用镶嵌工艺所产生的包括蚀刻停止体的互连线,并且由点B和C来表示根据本文所描述的方法所产生的全包覆互连体。这图示了线的健康。电阻的增长与坐落于全包覆线的过孔处的双钽/氮化钽层一致。
示例2
使用本文所述的包括全包覆互连体和镶嵌工艺的工艺来产生包括两个铜层的具有多个互连体的晶片。通过在第一层的层间电介质之上沉积第二层的层间电介质并且重复以上所述的互连体形成过程来产生额外的层。
图10图示了包括沉积在铜互连体之上的SiC蚀刻停止层的镶嵌铜互连体的截面的TEM图像。在100kX的放大率、5.0kV的加速电压和1mm的工作距离下拍摄了上面的图像。在150kX的放大率、5.0kV的加速电压和0.5mm的工作距离下拍摄了下面的图像。在上面的图像右侧图示了500nm的尺度,并且在下面的图像右侧图示了300nm的尺度。在空气环境中300℃下执行了50小时的电迁移烘烤测试后,互连结构中没有失效。
图11图示了根据本文所述的方法所产生的包括全包覆线的镶嵌铜互连体的截面的TEM图像。在70kX的放大率、5.0kV的加速电压和1mm的工作距离下拍摄了上面的图像。在150kX的放大率、5.0kV的加速电压和0.5mm的工作距离下拍摄了下面的图像。在上面的图像右侧图示了500nm的尺度,并且在下面的图像右侧图示了300nm的尺度。在空气环境中300℃下执行了50小时的电迁移烘烤测试后,互连结构中没有失效。
因此,在相同测试条件下,与使用蚀刻停止层的传统镶嵌工艺所产生的样品相比,根据本文提供的使用铜回流和全包覆线的方法所产生的样品展现了电容的提高并且没有展现出失效。
相应地,本公开内容的方面涉及形成全包覆互连体的方法。所述方法包括:在电介质层中形成开口,其中,所述电介质层具有表面,并且所述开口包括壁和底部。所述方法还包括:在所述电介质层表面、所述壁、以及所述底部上沉积扩散阻挡层,在所述扩散阻挡层上沉积粘合层,在所述粘合层上沉积互连材料,将所述互连材料回流到所述开口中,在所述开口中形成互连体,其中,所述互连体凹陷在所述电介质层表面下方。所述方法还包括:在所述互连体之上沉积粘合帽盖层,以及在所述粘合帽盖层之上沉积扩散阻挡帽盖层,其中,所述互连体被所述粘合层和所述粘合帽盖层环绕,并且所述粘合层和所述粘合帽盖层被所述扩散阻挡层和所述扩散阻挡帽盖层环绕。
在以上的实施例中,所述粘合帽盖层从所述电介质表面凹陷。此外,所述扩散阻挡帽盖层与所述电介质表面齐平,或者所述扩散阻挡帽盖层从所述电介质表面凹陷。
在以上实施例的任何实施例中,所述方法还包括在所述电介质层之上形成一个或多个以下层的覆盖层:所述扩散阻挡层、所述粘合层、所述粘合帽盖层、以及所述扩散阻挡帽盖层。使所述覆盖层平坦化,暴露所述电介质层表面。
此外,在以上实施例的任何实施例中,使用包括金属的靶,通过物理气相沉积来形成所述粘合帽盖层,并且,在其中包括所述电介质层和所述靶的处理腔室中执行所述物理气相沉积。在以上的特定实施例中,所述物理气相沉积包括:以10sccm至100sccm的范围内的流速向所述处理腔室供应惰性气体,从所述靶溅射所述金属,并且沉积所述粘合帽盖层,其中,在沉积期间,所述处理腔室被保持在1×10-8托至1×10-1托的范围内的压力以及10℃至100℃的范围内的温度下。
在以上实施例的任何实施例中,使用反应气体和包括金属的靶,通过物理气相沉积来形成所述扩散阻挡层,并且,在其中包括所述电介质层和所述靶的处理腔室中执行所述物理气相沉积。在以上的特定实施例中,所述物理气相沉积包括:以10sccm至100sccm的范围内的流速向所述处理腔室供应反应气体,从所述靶溅射所述金属,并且沉积所述扩散阻挡层,其中,在沉积期间,所述处理腔室被保持在1×10-8托至1×10-1托的范围内的压力以及10℃至100℃的范围内的温度下。例如,反应气体为N2,流速为40sccm至50sccm,压力在1毫托至10毫托的范围内,并且温度在10℃至20℃的范围内。
在以上实施例的任何实施例中,所述粘合帽盖层包括钽并且以1nm至10nm的厚度沉积。此外,在以上实施例的任何实施例中,所述扩散阻挡层包括氮化钽并且以1nm至10nm的范围内的厚度沉积。此外,在以上实施例的任何实施例中,所述互连材料是铜,并且在示例中,通过将所述铜暴露于200℃至1100℃的范围内的温度持续1至5分钟的范围内的时间段来使所述铜回流。
在以上实施例的任何实施例中,所述方法还包括:在所述电介质层中形成多个所述开口,并且形成多个所述互连体,其中,在所述多个开口的其中之一内形成所述互连体中的每个互连体,并且去除所述电介质层的位于所述互连体之间的部分,在所述互连体之间产生气隙。在此类实施例中,例如通过在电介质衬底之上沉积牺牲电介质层来形成所述电介质层;在所述牺牲电介质层中形成所述开口,其中,去除位于所述互连体之间的所述牺牲电介质层以形成所述气隙。
本公开内容的另一个方面涉及一种包括互连体的金属化层。在任何实施例中,根据以上所述的方法来形成所述金属化层。所述金属化包括:由电介质层来支撑的互连体,其中,所述互连体包括表面,所述表面包括相对的侧表面、底表面、以及上表面。所述金属化层还包括环绕所述互连体表面的阻挡层,其中,所述阻挡层包括:设置在所述互连体和所述电介质层之间的围绕所述相对的侧表面和所述底表面的粘合层。所述阻挡层还包括:设置在所述粘合层和所述电介质层之间的扩散阻挡层。此外,所述阻挡层还包括:设置在所述上表面之上的粘合帽盖层,以及设置在所述粘合帽盖层之上的扩散阻挡帽盖层,其中,所述粘合层和所述扩散阻挡层形成侧壁,并且所述互连体和所述粘合帽盖层凹陷在所述侧壁内。
在以上实施例的任何实施例中,所述互连体设置在电介质层中的开口中,其中,所述电介质层具有表面,并且所述互连体的所述上表面凹陷在所述电介质层的所述表面下方。此外,在以上实施例的任何实施例中,存在多个所述互连体,其中,气隙存在于所述互连体之间。
此外,在以上实施例的任何实施例中,所述粘合层和所述粘合帽盖层由钽构成。在以上实施例的任何实施例中,所述扩散阻挡层和所述扩散阻挡帽盖层由氮化钽构成。在以上实施例的任何实施例中,所述互连体由铜形成。
在以上实施例的任何实施例中,所述金属化层存在于集成电路中。
本公开内容的又一个方面涉及集成电路。所述集成电路包括:多个互连体,其连接到与所述集成电路相关联的一个或多个部件,其中,所述互连体由一个或多个电介质层来支撑,每个互连体包括表面,所述表面包括相对的侧表面、底表面、以及上表面。所述集成电路还包括环绕所述互连体表面的阻挡层,其中,所述阻挡层包括:设置在所述互连体和所述电介质层之间的围绕所述相对的侧表面和所述底表面的粘合层,设置在所述粘合层和所述电介质层之间的扩散阻挡层,设置在所述上表面之上的粘合帽盖层,以及设置在所述粘合帽盖层之上的扩散阻挡帽盖层,其中,所述粘合层和所述扩散阻挡层形成侧壁,并且所述互连体和所述粘合帽盖层凹陷在所述侧壁内。
在以上实施例的任何实施例中,所述互连体中的每个互连体设置在具有表面的电介质层的开口中,并且所述互连体的所述上表面从所述电介质层的所述表面凹陷。在特定实施例中,气隙存在于所述互连体之间。
在以上实施例的任何实施例中,所述粘合层和所述粘合帽盖层由钽构成。在以上实施例的任何实施例中,所述扩散阻挡层和所述扩散阻挡帽盖层由氮化钽构成。在以上实施例的任何实施例中,所述互连体由铜形成。此外,在以上实施例的任何实施例中,所述互连体已经经受了回流过程。
已经为了说明的目的呈现了对几个方法和实施例的前述描述。这并非旨在详尽的或将权利要求限制于所公开的精确的步骤和/或形式,并且显而易见的是,鉴于以上教导,许多修改和变化是可能的。旨在通过于此附属的权利要求来限定本发明的范围。

Claims (18)

1.一种集成电路结构,包括:
电介质材料,所述电介质材料包括硅、碳和氧;
位于所述电介质材料中的第一沟槽,所述第一沟槽具有底部和侧壁;
位于所述电介质材料中的第二沟槽,所述第二沟槽具有底部和侧壁;
沿着所述第一沟槽和所述第二沟槽的底部并且沿着所述第一沟槽和所述第二沟槽的侧壁的扩散阻挡层,所述扩散阻挡层具有与所述电介质材料接触的底部和侧壁,所述扩散阻挡层包括钽和氮;
沿着所述第一沟槽和所述第二沟槽的底部和侧壁表面位于所述扩散阻挡层上的粘合层,所述粘合层包括钴;
位于所述粘合层上且位于所述第一沟槽和所述第二沟槽内的互连材料,所述互连材料包括铜,所述互连材料具有顶表面;
位于所述互连材料的顶表面上的粘合帽盖层,所述粘合帽盖层包括钴;
位于所述粘合帽盖层上的扩散阻挡帽盖层,所述扩散阻挡帽盖层包括钽和氮;以及
横向地位于所述第一沟槽与所述第二沟槽之间的气隙;
其中所述粘合帽盖层和所述扩散阻挡帽盖层横向地位于沿着所述第一沟槽和所述第二沟槽的侧壁的所述粘合层之间。
2.根据权利要求1所述的集成电路结构,还包括:
位于所述粘合帽盖层上的第二帽盖层,所述第二帽盖层包括钽和氮。
3.根据权利要求1所述的集成电路结构,还包括:
位于所述电介质材料中的一个或多个额外沟槽,所述一个或多个额外沟槽中的每一个均具有底部和侧壁。
4.根据权利要求1所述的集成电路结构,其中所述互连材料的上表面位于沿着所述第一沟槽和所述第二沟槽的侧壁的所述粘合层的上表面的下方。
5.根据权利要求1所述的集成电路结构,还包括:
位于所述粘合帽盖层上的第二帽盖层,其中所述第二帽盖层横向地位于沿着所述第一沟槽和所述第二沟槽的侧壁的所述粘合层之间。
6.根据权利要求1所述的集成电路结构,其中所述气隙具有位于所述第一沟槽和所述第二沟槽的底部下方的底表面。
7.根据权利要求1所述的集成电路结构,其中所述粘合帽盖层的厚度在1-10nm的范围内。
8.根据权利要求1所述的集成电路结构,其中所述粘合帽盖层具有位于所述扩散阻挡层的最上表面下方的最上表面。
9.根据权利要求8所述的集成电路结构,其中所述粘合帽盖层横向地位于沿着所述第一沟槽和所述第二沟槽的侧壁的所述扩散阻挡层之间。
10.一种制造集成电路结构的方法,所述方法包括:
形成电介质材料,所述电介质材料包括硅、碳和氧;
在所述电介质材料中形成第一沟槽,所述第一沟槽具有底部和侧壁;
在所述电介质材料中形成第二沟槽,所述第二沟槽具有底部和侧壁;
沿着所述第一沟槽和所述第二沟槽的底部并且沿着所述第一沟槽和所述第二沟槽的侧壁形成扩散阻挡层,所述扩散阻挡层具有与所述电介质材料接触的底部和侧壁,所述扩散阻挡层包括钽和氮;
沿着所述第一沟槽和所述第二沟槽的底部和侧壁表面在所述扩散阻挡层上形成粘合层,所述粘合层包括钴;
在所述粘合层上且在所述第一沟槽和所述第二沟槽内形成互连材料,所述互连材料包括铜,所述互连材料具有顶表面;
在所述互连材料的顶表面上形成粘合帽盖层,所述粘合帽盖层包括钴;
在所述粘合帽盖层上形成扩散阻挡帽盖层,所述扩散阻挡帽盖层包括钽和氮;以及
在所述第一沟槽与所述第二沟槽之间横向地形成气隙;
其中所述粘合帽盖层和所述扩散阻挡帽盖层横向地位于沿着所述第一沟槽和所述第二沟槽的侧壁的所述粘合层之间。
11.根据权利要求10所述的方法,还包括:
在所述粘合帽盖层上形成第二帽盖层,所述第二帽盖层包括钽和氮。
12.根据权利要求10所述的方法,还包括:
在所述电介质材料中形成一个或多个额外沟槽,所述一个或多个额外沟槽中的每一个均具有底部和侧壁。
13.根据权利要求10所述的方法,其中所述互连材料的上表面凹陷在沿着所述第一沟槽和所述第二沟槽的侧壁的所述粘合层的上表面下方。
14.根据权利要求10所述的方法,还包括:
在所述粘合帽盖层上形成第二帽盖层,其中所述第二帽盖层横向地位于沿着所述第一沟槽和所述第二沟槽的侧壁的所述粘合层之间。
15.根据权利要求10所述的方法,其中所述气隙具有位于所述第一沟槽和所述第二沟槽的底部下方的底表面。
16.根据权利要求10所述的方法,其中所述粘合帽盖层的厚度在1-10nm的范围内。
17.根据权利要求10所述的方法,其中所述粘合帽盖层具有位于所述扩散阻挡层的最上表面下方的最上表面。
18.根据权利要求17所述的方法,其中所述粘合帽盖层横向地位于沿着所述第一沟槽和所述第二沟槽的侧壁的所述扩散阻挡层之间。
CN201810250435.XA 2013-09-27 2014-09-25 具有全包覆线的互连体 Active CN108615703B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/039,893 2013-09-27
US14/039,893 US9165824B2 (en) 2013-09-27 2013-09-27 Interconnects with fully clad lines
CN201480046634.9A CN105473326B (zh) 2013-09-27 2014-09-25 具有全包覆线的互连体
PCT/US2014/057413 WO2015048259A1 (en) 2013-09-27 2014-09-25 Interconnects with fully clad lines

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201480046634.9A Division CN105473326B (zh) 2013-09-27 2014-09-25 具有全包覆线的互连体

Publications (2)

Publication Number Publication Date
CN108615703A CN108615703A (zh) 2018-10-02
CN108615703B true CN108615703B (zh) 2023-02-17

Family

ID=52739312

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201810250435.XA Active CN108615703B (zh) 2013-09-27 2014-09-25 具有全包覆线的互连体
CN201480046634.9A Active CN105473326B (zh) 2013-09-27 2014-09-25 具有全包覆线的互连体

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201480046634.9A Active CN105473326B (zh) 2013-09-27 2014-09-25 具有全包覆线的互连体

Country Status (7)

Country Link
US (2) US9165824B2 (zh)
EP (2) EP3509095A1 (zh)
KR (1) KR102520743B1 (zh)
CN (2) CN108615703B (zh)
MY (1) MY175833A (zh)
TW (1) TWI544576B (zh)
WO (1) WO2015048259A1 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR20170002668A (ko) * 2011-12-20 2017-01-06 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN109890004B (zh) 2013-12-19 2022-08-30 英特尔公司 具有增强的隐私的安全的车辆数据管理
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10950747B2 (en) 2015-07-01 2021-03-16 Sensor Electronic Technology, Inc. Heterostructure for an optoelectronic device
US9812353B2 (en) 2015-12-03 2017-11-07 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
KR102334736B1 (ko) * 2015-12-03 2021-12-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102449199B1 (ko) 2015-12-14 2022-09-30 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
WO2018186835A1 (en) * 2017-04-04 2018-10-11 Intel Corporation Thin-film transistor embedded dynamic random-access memory
US11180373B2 (en) 2017-11-29 2021-11-23 Samsung Electronics Co., Ltd. Nanocrystalline graphene and method of forming nanocrystalline graphene
US11450669B2 (en) 2018-07-24 2022-09-20 Intel Corporation Stacked thin-film transistor based embedded dynamic random-access memory
US11217531B2 (en) * 2018-07-24 2022-01-04 Samsung Electronics Co., Ltd. Interconnect structure having nanocrystalline graphene cap layer and electronic device including the interconnect structure
KR102532605B1 (ko) * 2018-07-24 2023-05-15 삼성전자주식회사 나노결정질 그래핀 캡층을 포함하는 인터커넥트 구조체 및 이 인터커넥트 구조체를 포함하는 전자 소자
KR20200011821A (ko) 2018-07-25 2020-02-04 삼성전자주식회사 탄소물 직접 성장방법
CN113166929A (zh) * 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
KR20200126721A (ko) 2019-04-30 2020-11-09 삼성전자주식회사 그래핀 구조체 및 그래핀 구조체의 형성방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734559B1 (en) * 1999-09-17 2004-05-11 Advanced Micro Devices, Inc. Self-aligned semiconductor interconnect barrier and manufacturing method therefor
CN1783478A (zh) * 2004-12-01 2006-06-07 台湾积体电路制造股份有限公司 改善电子迁移的半导体元件与半导体元件的形成方法
CN102969273A (zh) * 2012-10-25 2013-03-13 上海集成电路研发中心有限公司 一种具有空气隙的铜大马士革互连结构的形成方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475903B1 (en) 1993-12-28 2002-11-05 Intel Corporation Copper reflow process
US6452276B1 (en) * 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
JP2000049116A (ja) * 1998-07-30 2000-02-18 Toshiba Corp 半導体装置及びその製造方法
US6433429B1 (en) * 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
US7138329B2 (en) * 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
DE10261466B4 (de) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US6972253B2 (en) * 2003-09-09 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric barrier layer in damascene structure
KR100621630B1 (ko) * 2004-08-25 2006-09-19 삼성전자주식회사 이종 금속을 이용하는 다마신 공정
US7176119B2 (en) 2004-09-20 2007-02-13 International Business Machines Corporation Method of fabricating copper damascene and dual damascene interconnect wiring
KR100703968B1 (ko) 2005-01-13 2007-04-06 삼성전자주식회사 반도체 소자의 배선 형성 방법
JP2006196820A (ja) * 2005-01-17 2006-07-27 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7332425B2 (en) * 2005-05-11 2008-02-19 Texas Instruments Incorporated Simultaneous deposition and etch process for barrier layer formation in microelectronic device interconnects
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
US7977228B2 (en) 2006-06-29 2011-07-12 Intel Corporation Methods for the formation of interconnects separated by air gaps
KR101487564B1 (ko) * 2006-08-30 2015-01-29 램 리써치 코포레이션 구리 상호접속부의 배리어 계면 제작 방법 및 장치
US7772702B2 (en) * 2006-09-21 2010-08-10 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
JP2009194286A (ja) * 2008-02-18 2009-08-27 Panasonic Corp 半導体装置及びその製造方法
US8288268B2 (en) * 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
US9269612B2 (en) * 2011-11-22 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of forming damascene interconnect structures
JP5898991B2 (ja) * 2012-02-10 2016-04-06 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US8796853B2 (en) * 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734559B1 (en) * 1999-09-17 2004-05-11 Advanced Micro Devices, Inc. Self-aligned semiconductor interconnect barrier and manufacturing method therefor
CN1783478A (zh) * 2004-12-01 2006-06-07 台湾积体电路制造股份有限公司 改善电子迁移的半导体元件与半导体元件的形成方法
CN102969273A (zh) * 2012-10-25 2013-03-13 上海集成电路研发中心有限公司 一种具有空气隙的铜大马士革互连结构的形成方法

Also Published As

Publication number Publication date
TW201535593A (zh) 2015-09-16
US9385085B2 (en) 2016-07-05
US20160005692A1 (en) 2016-01-07
CN105473326B (zh) 2018-04-27
US20150091175A1 (en) 2015-04-02
EP3049244A1 (en) 2016-08-03
KR102520743B1 (ko) 2023-04-11
WO2015048259A1 (en) 2015-04-02
EP3049244B1 (en) 2019-10-23
MY175833A (en) 2020-07-13
EP3049244A4 (en) 2017-04-26
CN105473326A (zh) 2016-04-06
TWI544576B (zh) 2016-08-01
CN108615703A (zh) 2018-10-02
US9165824B2 (en) 2015-10-20
KR20160063313A (ko) 2016-06-03
EP3509095A1 (en) 2019-07-10

Similar Documents

Publication Publication Date Title
CN108615703B (zh) 具有全包覆线的互连体
US11881432B2 (en) Interconnect wires including relatively low resistivity cores
US6930035B2 (en) Semiconductor device fabrication method
TW567530B (en) Manufacturing method semiconductor integrated circuit including simultaneous formation of via hole reaching metal wiring and concave groove in interlayer film and semiconductor integrated circuit manufactured with the manufacturing method
US6946385B2 (en) Production method for semiconductor device
US8293638B2 (en) Method of fabricating damascene structures
US9659817B1 (en) Structure and process for W contacts
US10692755B2 (en) Selective deposition of dielectrics on ultra-low k dielectrics
US6642139B1 (en) Method for forming interconnection structure in an integration circuit
KR100698743B1 (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20221026

Address after: Irish Dublin

Applicant after: Taihao Research Co.,Ltd.

Address before: California, USA

Applicant before: INTEL Corp.

TA01 Transfer of patent application right
GR01 Patent grant
GR01 Patent grant