CN107785239A - 半导体结构的形成方法 - Google Patents

半导体结构的形成方法 Download PDF

Info

Publication number
CN107785239A
CN107785239A CN201710188348.1A CN201710188348A CN107785239A CN 107785239 A CN107785239 A CN 107785239A CN 201710188348 A CN201710188348 A CN 201710188348A CN 107785239 A CN107785239 A CN 107785239A
Authority
CN
China
Prior art keywords
chip
layer
chip carrier
nitrogen
end interface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710188348.1A
Other languages
English (en)
Inventor
刘奕莹
林钰庭
聂君文
陈威宇
王喻生
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN107785239A publication Critical patent/CN107785239A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

半导体结构的形成方法包括:将晶片置入晶片载具;将晶片载具置于沉积工具的装载埠上;将晶片载具连接至沉积工具的前端界面单元;以氮气净化前端界面单元;以及在沉积工具中沉积金属层于晶片上。

Description

半导体结构的形成方法
技术领域
本公开实施例涉及半导体结构的形成方法,更特别涉及以氮气净化晶片载具及/或沉积工具的前端界面单元的方法。
背景技术
集成电路材料与设计的技术进展,使每一代的集成电路比前一代的集成电路具有更小且更复杂的电路。在集成电路演进中,随着几何尺寸(工艺所能形成的最小构件或线路)缩小,功能密度(单位芯片面积中的内连线装置数目)通常随之增加。工艺尺寸缩小,通常有利于增加产能并降低相关成本。
这些尺寸缩小亦增加集成电路工艺的复杂性。为了使上述进展得以实施,集成电路工艺需要类似发展。举例来说,晶体管尺寸缩小时亦增加晶体管构件的电阻值,这将劣化晶体管效能。
发明内容
本公开一实施例提供的半导体结构的形成方法,包括:将晶片置入晶片载具;将晶片载具置于沉积工具的装载埠上;将晶片载具连接至沉积工具的前端界面单元;以氮气净化前端界面单元;以及在沉积工具中沉积金属层于晶片上。
附图说明
图1至图8是一些实施例中,晶体管于工艺的中间阶段的剖视图。
图9是一些实施例中,晶片载具的剖视图。
图10是一些实施例中,沉积工具的剖视图。
图11是一些实施例中,沉积工具其装载埠上的晶片载具的剖视图。
图12是一些实施例中,形成晶体管的工艺流程图。
附图标记说明:
10 晶片
11-11 剖线
12 第二预清洁腔室
20 基板
22 源极/漏极区
24、60 层间介电层
26 浅沟槽隔离
28 接点蚀刻停止层
30 栅极间隔物
32 蚀刻停止层
36 栅极介电层
38 栅极
40 栅极堆叠
42 牺牲层间介电层
44 开口
46 晶片载具
48 门
50 钛层
52 氮化钛层
54 硅化物区
56 导电材料
58 接点插塞
62 栅极接点插塞
62A、64A 阻挡层
62B、64B 含铜区
64 源极/漏极接点插塞
100 沉积工具
102 装载埠
104 前端界面单元
106、114A、114B 通行腔室
108 缓冲腔室
110 脱气腔室
112 清洁腔室
116 传输腔室
118 SiCoNiTM腔室
120、122 腔室
130 入口
132 氮气
134 氮气注入机
136 出口
138 泵
150 环境
152 真空主体
200 工艺流程
202、204、206、208、210、212、214、216、218、220、222 步骤
具体实施方式
下述内容提供的不同实施例或实例可实施本公开实施例的不同结构。特定构件与排列的实施例是用以简化本公开而非局限本公开。举例来说,形成第一结构于第二结构上的叙述包含两者直接接触,或两者的间隔有其他额外结构而非直接接触。此外,本公开实施例的多种例子可重复标号及/或符号,但这些重复仅用以简化及清楚说明,而非多种实施例及/或设置之间具有相同标号的单元之间具有相同的对应关系。
此外,空间性的相对用语如「下方」、「其下」、「较下方」、「上方」、「较上方」、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件亦可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。
多种例示性的实施例提供晶体管工艺与对应的金属沉积工艺。一些实施例是钛层与氮化钛层的沉积的中间阶段。下述内容为一些实施例的一些变化。在多种附图与例示性实施例中,类似标号将用以标示类似单元。
图1至图8是一些实施例中,晶体管于工艺的中间阶段的剖视图。图1至图8中的步骤亦图示于图12中的工艺流程中。
如图1所示,提供晶片10,其上形成有初始结构。晶片10包含基板20,其组成可为半导体材料如硅、硅锗、碳化硅、III-V族半导体化合物材料、或类似物。基板20可为基体基板或绝缘层上半导体基板。层间介电层24形成于基板20上。层间介电层24的组成可为氧化物如磷硅酸盐玻璃、硼硅酸盐玻璃、掺杂硼的磷硅酸盐玻璃、四乙氧硅烷氧化物、或其他低介电常数非孔洞状的介电材料。举例来说,层间介电层24的形成方法可择自化学气相沉积、可流动的化学气相沉积、旋转涂布、或类似方法。浅沟槽隔离区26延伸至半导体的基板20中,使基板20中的主动区彼此隔离。
栅极堆叠40形成于半导体的基板20上,且栅极间隔物30形成于栅极堆叠40的侧壁上。在本公开一些实施例中,栅极堆叠40包含栅极介电层36,与栅极介电层36上的栅极38。在本公开一些实施例中,栅极堆叠40为置换的栅极堆叠,其形成方法为虚置栅极(未图示)、在形成层间介电24后移除虚置栅极以形成凹陷、以及形成置换的栅极堆叠40于凹陷中。栅极介电层36可为单层或含有多层的复合层。举例说,栅极介电层36可包含界面氧化物层,以及氧化物层上的高介电常数介电层。界面氧化物层可为氧化硅层,其形成方法可为热氧化、化学氧化、或沉积。高介电常数介电层的介电常数值可大于约7,高至约20、或更高。例示性的高介电常数介电材料包含氧化铪、氧化锆、氧化镧、或类似物。
栅极38亦可具有均质的导电材料组成的单层结构,或具有多个导电层的复合结构。在本公开一些实施例中,栅极38包含第一层(由TiN组成)、第二层(功函数层,由TaSiN、WN、TiAl、TiAlN、TaC、及/或类似物)、以及第三层(填充层,包含铝)。栅极38的形成方法可包含物理气相沉积、有机金属化学气相沉积、及/或其他可行方法,端视栅极38的材料而定。
在其他实施例中,栅极堆叠40不是置换栅极,其形成方法可为形成平坦的栅极介电层、形成平坦的栅极层于栅极介电层上、以及图案化栅极介电层与栅极层以保留栅极堆叠40。在本公开一些实施例中,栅极介电层36的组成可为氧化硅、氮化硅、高介电常数的介电材料、或类似物。举例来说,栅极38的组成可为多晶硅。
源极/漏极区22延伸至半导体的基板20中。在本公开一些实施例中,源极/漏极区22的形成方法为注入p型或n型杂质至基板20中。在本公开其他实施例中,源极/漏极区22的形成方法为蚀刻基板20以形成凹陷,以及再成长半导体材料于凹陷中以形成外延的源极/漏极区22。源极/漏极区22的组成可为硅、硅锗、磷化硅、碳化硅、或类似物。接点蚀刻停止层28覆盖源极/漏极区22,且可延伸于栅极间隔物30的侧壁上。在本公开一些实施例中,接点蚀刻停止层28的组成可为氮化硅、碳化硅、或其他半导体材料。
栅极堆叠40的上表面与层间介电层24的上表面共平面,此共平面结构的形成方法可为化学机械研磨。如图2所示,蚀刻停止层32形成于层间介电层24与栅极堆叠40的上表面上。此步骤即图12中的工艺流程200的步骤202。在本公开一些实施例中,蚀刻停止层32的组成为介电材料如碳化硅、氮化硅、氮氧化硅、或类似物。在一些实施例中,牺牲层间介电层42亦可形成于蚀刻停止层32上。牺牲层间介电层42可包含四乙氧硅烷或其他介电材料。在本公开其他实施例中,未形成蚀刻停止层32与牺牲层间介电层42。在本公开其他实施例中,可形成蚀刻停止层32,但未形成牺牲层间介电层42。
接着蚀刻牺牲层间介电层42(若存在)、蚀刻停止层32、层间介电层24、与接点蚀刻停止层28,以形成开口44露出源极/漏极区22。此步骤即图12中的工艺流程200的步骤204。在形成开口44后,进行预清洁步骤以清洁源极/漏极区22其露出的表面,以移除源极/漏极区22其露出的表面上形成的原生氧化物。此步骤即图12中的工艺流程200的步骤206。在预清洁步骤后,以去离子水冲洗晶片10后干燥。
接着将晶片10置于晶片载具46中,如图9所示。此步骤即图12中的工艺流程200的步骤208。晶片载具46可为前开式晶片传送盒或卡匣,其设置以同时储存多个晶片。多个晶片10置于晶片载具46中,其可传输至沉积工具以进行后续的金属沉积工艺。此步骤即图12中的工艺流程200的步骤208。在将晶片10置入晶片载具46中之后,关闭晶片载具46的门48。在本公开一些实施例中,在将晶片载具46传输至沉积工具的过程中,晶片载具46充填大气,其可为一大气压的干净气体。在本公开其他实施例中,以氮气净化晶片载具46,其实质上不含氧气与湿气(举例来说,氧气与湿气小于1体积%,小于0.1体积%、小于0.01体积%、或小于0.001体积%、或更低)。在将晶片载具46传输至沉积工具时,晶片载具46亦可充填氮气。
图10是本公开一些实施例中的沉积工具100。应理解的是,图10中的沉积工具100仅用以举例,而具有不同设计的沉积工具亦属本公开实施例的范畴。沉积工具100包含一或多个装载埠102,其用以载入/支撑晶片载具46(见图9),使晶片载具46中的晶片得以传输至沉积工具100中以进行后续工艺。装载埠102连接至前端界面单元104,其可提供小规模的环境如清洁的空间,使露出的晶片/及或晶片卡匣可传输至工艺工具100的真空主体152中,或自真空主体152传输出来。以氮气净化前端界面单元104,使晶片暴露至湿气与氧气的程度最小化。
在本公开一些实施例中,沉积工具100的整体位于环境150中,且可采用氮气净化沉积工具100。在其他实施例中,真空主体152包含多个真空腔室且位于开放大气(或干燥的清洁气体,其已除湿以移除湿气并清洁以移除粒子)中,而装载埠102位于经氮气净化的环境150中。在其他实施例中,整体的沉积工具100包含真空主体152、前端界面单元104、与装载埠102位于环境中,其充填有干燥的清洁气体。
真空主体152包含通行腔室106,其可让晶片通过。通行腔室106具有门,以与前端界面单元104分隔,使通行腔室106得以抽真空。缓冲腔室108用以在腔室之间传输晶片。在本公开一些实施例中,缓冲腔室108连接至预清洁腔室如脱气腔室110、清洁腔室112、SiCoNiTM腔室118、与通行腔室114A及114B。传输腔室116设置以在腔室之间传输晶片,其连接至通行腔室114A与114B、用于沉积氮化钛的腔室120、以及用于沉积钛的腔室122。
自预清洁的站点传输出的晶片载具46,置于支撑晶片载具46的装载埠102之一上。晶片载具46连接至前端界面单元104,如图10所示。此步骤即图12中的工艺流程200的步骤210。图11是沉积工具100的剖视图,其为沿着图10的上视图中剖线11-11的剖视图。开启晶片载具46的门48(见图9),使晶片载具46中的内部空间与前端界面单元104中的内部空间相接成连续的空间。
前端界面单元104具有入口130与出口136,分别用以吸气(氮气)与抽气(氮气)。在本公开一些实施例中,氮气注入机134可将氮气注入前端界面单元104,以净化前端界面单元104。此步骤即图12中的工艺流程200的步骤212。在下述内容中,用于净化前端界面单元104、环境150(见图10)、与晶片载具46的氮气,包含纯氮或实质上纯氮(例如氮的体积%大于99%或更高)。此外,氮气不具有湿气与氧气(例如湿气与氧气分别小于1体积%或0.1体积%)。
自出口136抽出前端界面单元104中的氮气,包含位于前端界面单元104的底部或任何其他位置的氮气。泵138连接至出口136,以移除前端界面单元104中的气体(包含氮气)。在本公开一些实施例中,以氮气净化前端界面单元104的工艺为连续工艺。在将晶片载具46置于装载埠102上之前、在对晶片载具46中的晶片10进行工艺之间、以及将晶片载具46传输离开之后,均可进行上述氮气净化工艺。由于将氮气连续地注入前端界面单元104,并将氮气抽出前端界面单元104,前端界面单元104中的氮气压力接近、高于、或低于一大气压,端视注入与抽出氮气的速率而定。
氮气132可吹向或导至晶片载具46,如氮气注入机134(实线部分)所示。上述氮气132的方向可改善驱出晶片载具46中的气体或湿气的效率,并将原本位于晶片载具46中的气体置换为注入的氮气。在其他实施例中,氮气132吹入前端界面单元104,而非导向晶片载具46。
由于氮气净化,前端界面单元104与晶片载具46中的湿气与氧气浓度明显降低。举例来说,环境大气的湿气浓度可大于约10000ppm。通过氮气净化,施气可降低至低于约1500ppm。此外,前端界面单元104与晶片载具46中的湿度可降低至低于30%。湿度可进一步降低至低于约10%或低于约5%,端视净化时间而定。净化时间越长,则湿度越低。
接着自晶片载具46接收晶片10,并将其传输通过前端界面单元104与通行腔室106(见图10)。晶片10通过缓冲腔室108,并进入第一预清洁腔室(可为脱气腔室110)。举例来说,可将脱气腔室110中的晶片10加热到约200℃至约400℃之间,使不利于吸收在晶片10中的气体(如氧气与湿气)可自晶片10脱气。
在本公开一些实施例中,将晶片10送至第二预清洁腔室12(可为PCXT腔室),其采用氩气轰击移除位于开口44的底部的多余氧化物(见图4)。
接着将晶片10传输通过通行腔室114A与传输腔室116后,传输至第三预清洁腔室(如SiCoNiTM腔室118)。在一些例示性的实施例中,第三预清洁腔室为蚀刻氧化物(如图3中源极/漏极区22上的原生氧化物)的蚀刻腔室。上述蚀刻可采用SiCoNiTM,其包含HF3与NH3的混合气体。
在后续步骤中,将晶片10传输至腔室122,以沉积金属层于晶片10上。此步骤即图12中的工艺流程200的步骤214。在本公开一些实施例中,金属层为钛层50,如图3所示。举例来说,沉积工艺可为物理气相沉积。接着将晶片10传输至腔室120(见图9),以沉积氮化钛层52于钛层50上,如图3所示。在沉积工艺后,将晶片10传输回传输腔室116,接着将晶片10传输通过通行腔室114B、缓冲腔室108、与通行腔室106之后,再将晶片10传输至前端界面单元104中。接着自前端界面单元104将晶片10置回图11所示的晶片载具46。
晶片载具46可容纳多个晶片10,以对晶片依序进行工艺(如沉积金属层)。单一晶片的金属沉积工艺可耗时几十分钟。综上所述,对第一片晶片10进行工艺到对最后一片晶片10进行工艺,需耗时几十分钟至数小时。在等待的时间中,晶片载具46中的晶片将暴露于前端界面单元104与晶片载具46中的气体。若前端界面单元104充填环境气体(即使是干净的气体),湿气与氧气的吸收量将无法忽略。此外,若沉积的钛层50与氮化钛层52(见图3)暴露至氧气与湿气,其亦吸收氧气与湿气。吸收于晶片10中的氧气与湿气会与后续形成的金属硅化物区反应,这将增加接点电阻并降低良率。实验结果证实,当晶片10暴露至环境气体时(公知前端界面单元中的气体),源极/漏极接点电阻将随着暴露时间增加而明显升高。在本公开实施例中,通过净化前端界面单元104,可隔离晶片10与氧气(及湿气)。综上所述,可避免增加源极/漏极接点电阻,并避免对应的良率损失。
回到图3,沉积的钛层50与氮化钛层52延伸至开口44中,而开口44中的钛层50其底部接触露出的源极/漏极区22。在本公开一些实施例中,除了沉积含钛层外,亦可沉积其他金属如镍或钴以形成硅化物区。如此一来,前述沉积的氮化钛层亦可置换为其他金属氮化物层,比如氮化钽层。
接着如图4所示,进行自对准硅化步骤,以形成硅化物区54于开口44的底部。此步骤即图12中的工艺流程200的步骤216。在自对准硅化工艺中,可进行回火使金属层(如钛层50)与源极/漏极区22反应,以形成源极/漏极的硅化物区54于保留的源极/漏极区22的上表面。硅化工艺可完全消耗钛层50的底部部分,使硅化工艺后的硅化物区54其上表面接触氮化钛层52的下表面。
如图5所示,导电材料56(可包含金属、金属合金、多层的复合金属化层、或类似物)填入剩余的开口44(见图4)中。此步骤即图12中的工艺流程200的步骤218。在本公开一些实施例中,导电材料56的组成为钨。接着进行化学机械研磨以移除多余的导电材料及牺牲层42。此步骤即图12中的工艺流程200的步骤220。上述工艺形成的结构如图6所示。在化学机械研磨时,蚀刻停止层32作为化学机械研磨的蚀刻停止层。保留的导电材料即形成接点插塞58。
如图7所示,另一层间介电层60形成于蚀刻停止层32上。层间介电层60的组成可为磷硅酸盐玻璃、硼硅酸盐玻璃、硼磷硅酸盐玻璃、四乙氧硅烷氧化物、或类似物。接着如图8所示,形成栅极接点插塞62与源极/漏极接点插塞64。此步骤即图12中的工艺流程200的步骤222。栅极接点插塞62与源极/漏极接点插塞64可同时形成,或者分别形成于不同步骤中。源极/漏极接点插塞64亦可称作较上的源极/漏极接点插塞。源极/漏极接点插塞64对准或接触接点插塞58(又称作较下的源极/漏极接点插塞)。栅极接点插塞62电性耦接至栅极38。每一栅极接点插塞62与源极/漏极接点插塞64可包含阻挡层62A或64A,以及含铜区62B或64B。上述层状的形成工艺可包含蚀刻层间介电层60以形成开口,以及将阻挡层与含铜层填入开口中。在本公开一些实施例中,阻挡层62A与64A可为钛层、氮化钛层、钽层、氮化钽层、或上述的多层结构。接着可进行化学机械研磨以移除多余部分的阻挡层与含铜层。保留的部分阻挡层形成阻挡层62A与64A,而保留的部分含铜层形成含铜层62B与64B。
本公开实施例具有一些优点。在公知金属沉积工艺中,在以晶片载具传输晶片之中与之后,晶片均可能暴露至氧气与湿气。此外,在以工艺工具对晶片进行工艺(如沉积金属层)时,晶片可能暴露至环境大气一段长时间。晶片会吸收氧气与湿气。在形成硅化物区之前或之后,会释放这些吸收的氧气与湿气,造成硅化物区氧化而增加接点电阻。在本公开实施例中,通过净化前端界面单元与晶片载具,可大幅降低晶片对氧气与湿气的暴露值,进而降低接点电阻及增加良率。
在本公开一些实施例中,方法包括:将晶片置入晶片载具;将晶片载具置于沉积工具的装载埠上;将晶片载具连接至沉积工具的前端界面单元;以氮气净化前端界面单元;以及在沉积工具中沉积金属层于晶片上。
在一些实施例中,上述方法的沉积金属层的步骤包括沉积钛层。
在一些实施例中,上述方法在净化步骤时,晶片载具的内部空间与前端界面单元的内部空间相连。
在一些实施例中,上述方法的净化步骤将氮气直接吹向晶片载具。
在一些实施例中,上述方法的晶片载具包含多个晶片,且在沉积工具中依序沉积金属层于晶片上,其中沉积金属层于每一晶片上的过程中,均以氮气连续地净化前端界面单元。
在一些实施例中,上述方法在将晶片载具置于装载埠上之前,即开始净化步骤。
在一些实施例中,上述方法还包括形成源极/漏极区于晶片中,其中金属层接触源极/漏极区。
在一些实施例中,上述方法还包括回火晶片,其中金属层与源极/漏极区反应以形成源极/漏极硅化物区。
在本公开一些实施例中,方法包括:形成源极/漏极区于晶片上;在晶片上以稀氢氟酸溶液进行清洁;将清洁后的晶片置入晶片载具;将晶片载具传输至沉积工具的装载埠上;连接晶片载具的内部空间至沉积工具其前端界面单元的内部空间;以氮气连续地净化前端界面单元;以及在沉积工具中沉积金属层于晶片上。
在一些实施例中,上述方法还包括在沉积工具中沉积金属氮化物层于金属层上。
在一些实施例中,上述方法还包括自装载埠移开晶片载具,其中在晶片置于装载埠上的过程中,连续地净化前端界面单元。
在一些实施例中,上述方法的晶片载具包含多个晶片于其中,且在沉积工具中依序沉积金属层于晶片上,其中沉积金属层于每一晶片上的过程中,均以氮气连续地净化前端界面单元。
在一些实施例中,上述方法的净化的前端界面单元其湿气浓度降低至低于约1500ppm。
在一些实施例中,上述方法还包括以氮气净化晶片载具。
在本公开一些实施例中,方法包括:以工艺气体净化沉积工具的前端界面单元。工艺气体包含氮气,且实质上不含氧气与湿气。此方法亦包括在净化开始后,将晶片载具置于沉积工具的装载埠上;将晶片载具中的第一内部空间连接至沉积工具其前端界面单元中的第二内部空间;将晶片载具中的第一晶片经由前端界面单元传输至沉积工具的真空主体中;沉积金属层于第一晶片上;以及将第一晶片置回晶片载具中。
在一些实施例中,上述方法更包含沉积额外金属层于晶片载具中的第二晶片,其中在在沉积金属层及额外金属层于第一晶片与第二晶片的过程中,均以氮气连续地净化晶片载具。
在一些实施例中,上述方法的净化的前端界面单元的湿气浓度降低至低于约1500ppm。
在一些实施例中,上述方法还包括在第一晶片上进行预清洁;以及将第一晶片置入晶片载具。
在一些实施例中,上述方法还包括将晶片载具移开沉积工具,且在晶片载具离开沉积工具后仍持续地净化前端界面单元。
在一些实施例中,上述方法的工艺气体包含实质上纯氮气。
上述实施例的特征有利于本领域技术人员理解本公开实施例。本领域技术人员应理解可采用本公开实施例作基础,设计并变化其他工艺与结构以完成上述实施例的相同目的及/或相同优点。本领域技术人员亦应理解,这些等效置换并未脱离本公开实施例的精神与范畴,并可在未脱离本公开实施例的精神与范畴的前提下进行改变、替换、或更动。

Claims (1)

1.一种半导体结构的形成方法,包括:
将一晶片置入一晶片载具;
将该晶片载具置于一沉积工具的一装载埠上;
将该晶片载具连接至该沉积工具的一前端界面单元;
以氮气净化该前端界面单元;以及
在该沉积工具中沉积一金属层于该晶片上。
CN201710188348.1A 2016-08-24 2017-03-27 半导体结构的形成方法 Pending CN107785239A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/246,071 US10269926B2 (en) 2016-08-24 2016-08-24 Purging deposition tools to reduce oxygen and moisture in wafers
US15/246,071 2016-08-24

Publications (1)

Publication Number Publication Date
CN107785239A true CN107785239A (zh) 2018-03-09

Family

ID=61243516

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710188348.1A Pending CN107785239A (zh) 2016-08-24 2017-03-27 半导体结构的形成方法

Country Status (3)

Country Link
US (1) US10269926B2 (zh)
CN (1) CN107785239A (zh)
TW (1) TW201820502A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112635360A (zh) * 2020-12-16 2021-04-09 华虹半导体(无锡)有限公司 一种降低晶圆上形成凝结物的方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180078419A (ko) * 2016-12-29 2018-07-10 삼성전자주식회사 캐리어

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265312B1 (en) * 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
JP2004022980A (ja) * 2002-06-19 2004-01-22 Hitachi High-Technologies Corp 電子線検査装置
JP4486489B2 (ja) * 2004-12-22 2010-06-23 東京エレクトロン株式会社 処理方法及び処理装置
US7344983B2 (en) * 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
JP2008032621A (ja) * 2006-07-31 2008-02-14 Hitachi High-Technologies Corp 表面検査装置およびその方法
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
JP5196467B2 (ja) * 2007-05-30 2013-05-15 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8603348B2 (en) * 2011-01-14 2013-12-10 Headway Technologies, Inc. Method of reducing main pole corrosion during aluminum oxide etch
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
JP6157942B2 (ja) * 2013-06-13 2017-07-05 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9837293B2 (en) * 2013-10-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for charging gas into cassette pod
US9437433B2 (en) * 2014-02-03 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cooling wafer in ion implantation process
TW201622224A (zh) * 2014-09-04 2016-06-16 應用材料股份有限公司 雷射圖案化薄膜電池
JP6686014B2 (ja) * 2014-10-24 2020-04-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースで基板キャリアをパージするシステム、装置及び方法
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112635360A (zh) * 2020-12-16 2021-04-09 华虹半导体(无锡)有限公司 一种降低晶圆上形成凝结物的方法

Also Published As

Publication number Publication date
US10269926B2 (en) 2019-04-23
TW201820502A (zh) 2018-06-01
US20180061959A1 (en) 2018-03-01

Similar Documents

Publication Publication Date Title
CN101075577B (zh) 半导体装置的制造方法
CN106981487B (zh) 集成电路器件及其制造方法
US11410846B2 (en) Method for metal gate surface clean
CN101055832B (zh) 半导体装置的制造方法
US10943920B2 (en) Methods of fabricating integrated structures
CN107026195A (zh) 半导体装置与其形成方法
US10784363B2 (en) Method and structure of forming finFET contact
JP2022521842A (ja) 3d-nandモールド
JP2021132201A (ja) 3d−nandメモリセル構造
CN102640280B (zh) 半导体器件及其制造方法
CN107785239A (zh) 半导体结构的形成方法
JP5507654B2 (ja) 半導体装置の製造方法
CN113228279B (zh) 用于形成半导体结构的方法
JP2023531202A (ja) 閉じ込められた電荷トラップ層
CN108269847A (zh) 半导体结构及其形成方法
CN106486353B (zh) 形成半导体器件的方法
CN110534414B (zh) 半导体器件及其制作方法
KR102446864B1 (ko) 반도체 소자의 제조 방법
US20240194605A1 (en) Post-treatment for removing residues from dielectric surface
TW202337014A (zh) 電荷捕捉削減之nand單元結構
CN115346940A (zh) 半导体元件及其制备方法
WO2023014776A1 (en) Selection gate structure and fabrication method for 3d memory
WO2022212426A1 (en) Selection gate separation for 3d nand
CN114709167A (zh) 半导体器件及其制造方法
CN113013226A (zh) 半导体器件和形成半导体器件的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20180309

WD01 Invention patent application deemed withdrawn after publication