CN107481934A - 一种薄膜晶体管的制作方法 - Google Patents

一种薄膜晶体管的制作方法 Download PDF

Info

Publication number
CN107481934A
CN107481934A CN201611227216.7A CN201611227216A CN107481934A CN 107481934 A CN107481934 A CN 107481934A CN 201611227216 A CN201611227216 A CN 201611227216A CN 107481934 A CN107481934 A CN 107481934A
Authority
CN
China
Prior art keywords
metal layer
tft
thin film
film transistor
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201611227216.7A
Other languages
English (en)
Other versions
CN107481934B (zh
Inventor
孙涛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wuhan China Star Optoelectronics Technology Co Ltd
Original Assignee
Wuhan China Star Optoelectronics Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Wuhan China Star Optoelectronics Technology Co Ltd filed Critical Wuhan China Star Optoelectronics Technology Co Ltd
Priority to CN201611227216.7A priority Critical patent/CN107481934B/zh
Priority to US15/327,470 priority patent/US20180212043A1/en
Priority to PCT/CN2016/113155 priority patent/WO2018119927A1/zh
Publication of CN107481934A publication Critical patent/CN107481934A/zh
Application granted granted Critical
Publication of CN107481934B publication Critical patent/CN107481934B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0041Photosensitive materials providing an etching agent upon exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1288Multistep manufacturing methods employing particular masking sequences or specially adapted masks, e.g. half-tone mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78609Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device for preventing leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78663Amorphous silicon transistors
    • H01L29/78669Amorphous silicon transistors with inverted-type structure, e.g. with bottom gate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0102Surface micromachining
    • B81C2201/0105Sacrificial layer
    • B81C2201/0108Sacrificial polymer, ashing of organics
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/133345Insulating layers
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/136Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
    • G02F1/1362Active matrix addressed cells
    • G02F1/136227Through-hole connection of the pixel electrode to the active element through an insulation layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F5/00Screening processes; Screens therefor
    • G03F5/14Screening processes; Screens therefor by contact methods
    • G03F5/16Screening processes; Screens therefor by contact methods using grey half-tone screens
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13069Thin film transistor [TFT]
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/03Conductive materials
    • H05K2201/0332Structure of the conductor
    • H05K2201/0335Layered conductors or foils
    • H05K2201/0338Layered conductor, e.g. layered metal substrate, layered finish layer, layered thin film adhesion layer
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/03Conductive materials
    • H05K2201/0332Structure of the conductor
    • H05K2201/0335Layered conductors or foils
    • H05K2201/0361Etched tri-metal structure, i.e. metal layers or metal patterns on both sides of a different central metal layer which is later at least partly etched

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明公开了一种薄膜晶体管的制作方法,其应用于显示面板铜制程,包括:在衬底基板上形成第一金属层,图案化所述第一金属层以形成薄膜晶体管的栅极;在所述栅极上方形成栅极绝缘层;在所述栅极绝缘层上方依次形成半导体层和第二金属层;在所述第二金属层上方涂布光刻胶;刻蚀所述第二金属层与所述半导体层以形成薄膜晶体管的边界区域;再次刻蚀所述第二金属层以形成薄膜晶体管的源极、漏极及背沟道区域;采用灰化工艺剥离残留的光刻胶;再次刻蚀所述半导体层以形成薄膜晶体管的导电沟道。该方法善了因铜的扩散以及有机剥离液污染等因素导致的薄膜晶体管的漏电问题。

Description

一种薄膜晶体管的制作方法
技术领域
本发明属于液晶显示技术领域,尤其涉及一种薄膜晶体管的制作方法。
背景技术
随着平板显示(Flat Panel Display,FPD)技术的发展,人们对显示器分辨率和画面刷新速率的追求越来越高,因此新材料和新工艺的发展也迫在眉睫。
目前,在液晶显示器(TFT LCD)的加工制造领域,导电层金属的材料主要以铝和钼为主,铝和钼的优点在于成膜工艺简单,黏附性和平坦性较好,较柔软且不容易发生爬坡断线以及不容易扩散(扩散导致膜层污染)。对于小尺寸和低分辨率的面板而言,铝是首选的理想导电金属材料。但由于铝的电阻率相对较大,因此对于大尺寸和高分辨率面板而言,就不能满足需求了。
作为导电金属材料,铜的导电率要远远优于铝,对于15.0寸的UXGA(UltraeXtended Graphics Array)显示屏,采用铜取代铝作为导电金属材料,其面板分辨率可以提升35.2%,亮度可以提高32%,同时画面闪烁(flicker)和线负载都能大大降低。因此,针对目前高分辨率面板的市场需求,将使用铜取代铝应用到未来的显示面板中。
针对常规背沟道刻蚀型TFT铝制程以及铜制程工艺中,背沟道刻蚀型TFT 漏电流控制一直都是制程难点。尤其是在铜制程工艺中,由于背沟道污染导致的漏电问题更为明显,致使铜制程尚未完全取代铝而应用到平板显示面板中。为了解决铜工艺制程中的漏电问题,现有技术中主要通过变更金属电极的缓冲层 (buffer layer)金属的种类来改善。例如采用Ti、TiNd、MoTi或Mo等,同时缩短制程等待时间来弱化此效应。上述工艺手段致使量产条件的变更较为苛刻进而影响显示面板铜制程的开发量产周期以及增加量产的难度。
发明内容
本发明所要解决的技术问题之一是改善铜制程中的漏电问题,且有利于缩短显示面板铜制程的开发量产周期。
为了解决上述技术问题,本申请的实施例首先提供了一种薄膜晶体管的制作方法,所述方法包括:在衬底基板上形成第一金属层,图案化所述第一金属层以形成薄膜晶体管的栅极;在所述栅极上方形成栅极绝缘层;在所述栅极绝缘层上方依次形成半导体层和第二金属层;在所述第二金属层上方涂布光刻胶;刻蚀所述第二金属层与所述半导体层以形成薄膜晶体管的边界区域;再次刻蚀所述第二金属层以形成薄膜晶体管的源极、漏极及背沟道区域;采用灰化工艺剥离残留的光刻胶;再次刻蚀所述半导体层以形成薄膜晶体管的导电沟道。
优选地,形成第一金属层和第二金属层,并使所述第一金属层与所述第二金属层均为包括铜材料与缓冲层金属材料的复合层。
优选地,所述采用灰化工艺剥离残留的光刻胶,具体包括:在所述灰化工艺的前半段制程时间内,采用六氟化硫与氧气的混合气体对所述光刻胶进行处理;在所述灰化工艺的后半段制程时间内,采用氧气对所述光刻胶进行处理。
优选地,所述混合气体中六氟化硫与氧气的体积比为1:1-1:7。
优选地,在所述第二金属层上方涂布光刻胶之后还包括,利用灰色调光罩图案化所述光刻胶,以剥离与薄膜晶体管的背沟道区域相对应的光刻胶的一部分。
优选地,所述刻蚀所述第二金属层与所述半导体层以形成薄膜晶体管的边界区域,具体包括:利用湿蚀刻法来刻蚀所述第二金属层;利用干蚀刻法来刻蚀所述半导体层。
优选地,在再次刻蚀所述第二金属层以形成薄膜晶体管的源极、漏极及背沟道区域之前还包括,采用灰化工艺剥离部分厚度的光刻胶。
优选地,采用四氟化碳或者四氯化碳气体再次刻蚀所述半导体层以形成薄膜晶体管的导电沟道。
优选地,采用物理溅射法形成所述第一金属层与第二金属层。
优选地,采用等离子体增强化学气相沉积法形成所述栅极绝缘层。
与现有技术相比,上述方案中的一个或多个实施例可以具有如下优点或有益效果:
通过对显示面板铜制程中薄膜晶体管的工艺流程进行优化,改善了因铜的扩散以及有机剥离液污染等因素导致的薄膜晶体管的漏电问题。
本发明的其他优点、目标,和特征在某种程度上将在随后的说明书中进行阐述,并且在某种程度上,基于对下文的考察研究对本领域技术人员而言将是显而易见的,或者可以从本发明的实践中得到教导。本发明的目标和其他优点可以通过下面的说明书,权利要求书,以及附图中所特别指出的结构来实现和获得。
附图说明
附图用来提供对本申请的技术方案或现有技术的进一步理解,并且构成说明书的一部分。其中,表达本申请实施例的附图与本申请的实施例一起用于解释本申请的技术方案,但并不构成对本申请技术方案的限制。
图1为显示面板上薄膜晶体管的膜层结构示意图;
图2为根据本发明实施例的薄膜晶体管的制作方法的流程示意图;
图3a-图3g为根据本发明实施例的薄膜晶体管的工艺过程示意图;
图4为根据本发明实施例的采用灰化工艺剥离残留的光刻胶的流程示意图。
具体实施方式
以下将结合附图及实施例来详细说明本发明的实施方式,借此对本发明如何应用技术手段来解决技术问题,并达成相应技术效果的实现过程能充分理解并据以实施。本申请实施例以及实施例中的各个特征,在不相冲突前提下可以相互结合,所形成的技术方案均在本发明的保护范围之内。
图1为显示面板上薄膜晶体管的膜层结构示意图,如图所示,100为显示面板的玻璃基板,从下至上的各膜层机构依次为薄膜晶体管的栅极101(即第一金属层),栅极绝缘层102,半导体层103,以及薄膜晶体管的源极和漏极104(即第二金属层)。在上述各薄膜晶体管结构的上面还覆盖有绝缘保护层105,在绝缘保护层105上设置有过孔,像素电极106通过过孔与薄膜晶体管的源极或漏极相连接。
在制作具有上述结构的薄膜晶体管的铜制程中,导致漏电流产生的步骤主要集中在导电沟道的刻蚀的过程中,本发明实施例对现有的导电沟道的工艺制程进行了改进,下面结合具体的实施例进行说明。
图2为根据本发明实施例的薄膜晶体管的制作方法的流程示意图,如图所示,该方法包括以下步骤:
步骤S210、在衬底基板上形成第一金属层,图案化第一金属层以形成薄膜晶体管的栅极。
步骤S220、在栅极上方形成栅极绝缘层。
步骤S230、在栅极绝缘层上方依次形成半导体层和第二金属层。
步骤S240、在第二金属层上方涂布光刻胶。
步骤S250、刻蚀第二金属层与所述半导体层以形成薄膜晶体管的边界区域。
步骤S260、再次刻蚀第二金属层以形成薄膜晶体管的源极、漏极及背沟道区域。
步骤S270、采用灰化工艺剥离残留的光刻胶。
步骤S280、再次刻蚀半导体层以形成薄膜晶体管的导电沟道。
具体的,在步骤S210中,在衬底基板上通过物理溅射法依次沉淀用于制作薄膜晶体管栅极的第一金属层。物理溅射法是指利用电场加速的气体离子对靶材进行轰击,使成膜材料从靶材转移到基板的物理成膜方法。
举例而言,通过物理溅射依次沉积形成Mo金属层和Cu金属层,其中Mo 金属层和Cu金属层的膜层厚度分别为,Mo的厚度为铜的厚度约为
一般的,薄膜晶体管的金属电极采用缓冲层结构,具体为,利用缓冲层金属材料与铜材料一起形成多层复合膜层。采用包括铜材料与缓冲层金属材料的复合层,能够阻挡金属铜向有源层中的扩散。现有技术中主要通过变更缓冲层的金属种类来解决铜工艺制程中的漏电问题,但由此而导致制程的量产条件变更苛刻。
在本发明实施例中,仅采用常用的金属材料来作为缓冲层,例如也可以采用 Ti/Mo/Cu来形成第一金属层,并且本实施例中的工艺参数也均采用现有工艺中的设定,不需要对现有制程进行变更就可以直接应用。
接下来,通过涂布、曝光、显影、湿蚀刻、剥离等工艺制程图案化第一金属层以形成薄膜晶体管的栅极金属结构,上述工艺制程的具体实施方式以及工艺参数的选取均可根据现有技术中相关工艺制程获取,此处不再赘述。图案化后得到的薄膜晶体管的栅极如图3a中的膜层1所示,这里仅以一层膜层来示意性表示栅极的复合层结构。
在步骤S220中,通过等离子体增强化学气相沉积法(PECVD)沉淀一层SiNx 以形成栅极绝缘层。等离子体增强化学气相沉积法主要是指通过激发气体,使其产生低温等离子体,增强反应物质的化学活性,从而进行外延的一种方法。一般的,SiNx材料层的厚度可选取为栅极绝缘层如图3a中的膜层2 所示。
在步骤S230中,首先通过等离子体增强化学气相沉积法(PECVD)沉淀形成半导体层。
在本发明的一个实施例中,半导体层包括非晶硅层与掺杂非晶硅层,其中非晶硅层和掺杂非晶硅层的膜层厚度可以根据现有技术中的工艺参数进行选取,例如,使非晶硅和掺杂非晶硅的膜厚为
如图3a所示,膜层3表示非晶硅层,膜层4表示掺杂非晶硅膜层。
然后,通过物理溅射法依次沉淀用于制作薄膜晶体管源极和漏极的第二金属层,这部分制程与形成第一金属层的制程相类似。
例如在本发明的一个实施例中,通过物理溅射依次沉积形成Mo/Ti金属层、 Cu金属层和Mo/Ti金属层,其中Mo/Ti金属层和Cu金属层的膜层厚度分别为, Mo的厚度为铜的厚度约为
如图3a所示,膜层5和膜层7表示Mo/Ti金属层,膜层6表示Cu金属层。
在步骤S240中,在第二金属层上方涂布光刻胶。光刻胶也称光阻,在刻蚀金属层之前,需要对不需要刻蚀的部分进行保护,在不需要刻蚀的部分覆盖上一层厚度均匀,附著性强的光刻胶可以使后续的曝光、显影等制程能得到良好的图案转移。
在第二金属层上方涂布光刻胶之后,还需要将与薄膜晶体管的背沟道区域相对应的光刻胶剥离一部分。光刻胶的剥离就是使用剥离液对光刻胶进行去除的过程,一般是将涂有光刻胶的基板投入剥离液中,剥离液中的成分浸透到光刻胶和膜层之间的界面,光刻胶膨润分解,溶解于剥离液中。
如图3a所示,膜层8为最后成形的光阻层,其凹陷部分对应于薄膜晶体管的背沟道区域。
实际制程中,可以采用灰色调光罩(gray tone)来对光阻层进行图案化,关于灰色调光罩的工艺可以参考现有技术获取,此处不再赘述。
在步骤S250中,具体分为两个步骤,首先利用湿蚀刻法来刻蚀第二金属层 (膜层5、6、7),如图3b所示。
湿蚀刻法主要是利用不同的化学溶液,对未覆盖光刻胶的膜层进行化学蚀刻反应,以形成需要的图案或线路的过程。一般是采用与薄膜极易产生化学反应的液体作为蚀刻液,反应后的生成物需要是易于溶于水或是气相的物质。
在第二金属层刻蚀完成后,再利用干蚀刻法来刻蚀半导体层(膜层3、4),如图3c所示。
干蚀刻法主要是利用电浆以化学反应的方式将未覆盖光刻胶的膜层去除,以形成需要的图案或线路的过程。一般通过通入特定的气体,形成高能电浆,藉由电浆的高能离子或自由基扩散至未被保护的膜层表面,并发生化学反应,反应的生成物也为气体。
通过对第二金属层和半导体层的分别刻蚀,形成了薄膜晶体管的边界区域。
接下来在步骤S260中,首先对光刻胶膜层进行处理,采用灰化工艺剥离部分厚度的光刻胶,使与薄膜晶体管的背沟道区域相对于的第二金属层能够显露出来,以便于后续对背沟道区域进行刻蚀,如图3d所示。
需要说明的是,用于灰化工艺的气体为六氟化硫SF6与氧气O2的混合气体,且对于混合气体中SF6与O2的体积比不做限定,采用现有技术中灰化制程中的工艺参数即可。
然后再次对第二金属层进行刻蚀,以形成薄膜晶体管的源极、漏极及背沟道区域,如图3e所示,对第二金属层进行刻蚀仍然采用湿蚀刻法,其工艺制程可以参考步骤S250中对第二金属层进行首次刻蚀时的相关内容,此处不再赘述。
通过第二刻蚀,形成薄膜晶体管的源极、漏极及背沟道区域。
在步骤S270中,采用灰化工艺剥离残留的光刻胶,主要为覆盖在源极和漏极上方的光刻胶,如图3f所示。
进一步地,对残留光刻胶进行灰化的过程具体包括以下步骤,如图4所示:
步骤S410、在灰化工艺的前半段制程时间内,采用六氟化硫SF6与氧气O2 的混合气体对光刻胶进行处理。
步骤S420、在灰化工艺的后半段制程时间内,采用氧气O2对光刻胶进行处理。
具体的,SF6与O2的混合气体有利于提高灰化速度,加速灰化工艺的过程,因此在灰化工艺的前半段制程时间内,利用SF6与O2的混合气体快速剥离大部分光刻胶。
但同时,本发明的发明人通过研究发现,SF6作为F元素的主要供给源,可以与半导体层发生反应,用于辅助刻蚀并提高刻蚀速度,但SF6也是造成薄膜晶体管沟道被污染的主要原因,SF6会与铜电极发生反应,生成硫铜络合物,而该硫铜络合物较易扩散,会持续向沟道层发生扩散,进而对导电沟道造成污染。因此,在本发明的实施例中,通过控制灰化气体中SF6与O2的体积比来控制SF6 的含量,以降低SF6对半导体沟道的污染。
在灰化工艺的后半段制程时间内,仅采用O2对光刻胶进行处理。O2能够将硫铜络合物中的硫置换出来生成氧化铜,氧化铜不具有扩散作用,不会对半导体沟道造成污染,进而形成对导电沟道的保护作用。
在本发明的一个实施例中,也可以在灰化工艺制程时间内,根据时间逐渐减小SF6的含量至0,这样既可以保证灰化制程的速度、消除SF6对导电沟道的污染,还能够使灰化过程平稳,提高成品率。
在本发明的另一个实施例中,SF6与O2的混合气体中SF6与O2的体积比为 1:1-1:6或1:7的范围,即在灰化工艺的前半段制程时间内,就对SF6的含量进行控制,以避免对半导体沟道造成污染。
最后在步骤S280中,在去除了残留的光刻胶之后,再对半导体层进行第二次刻蚀,以形成薄膜晶体管的导电沟道,如图3g所示。
在本发明的一个实施例中,采用四氟化碳CF4或者四氯化碳CCl4气体对半导体层进行刻蚀。
现有技术在刻蚀导电沟道的制程中会添加大量的氦气He,氦气的作用是使等离子体均一化,但氦气会对薄膜晶体管源极和漏极的复合金属层造成影响,因此,在本实施例中,仅采用CF4和CCl4对半导体层进行刻蚀,其中,CF4作为F元素的供给源,用来与半导体层发生反应,辅助刻蚀,提高刻蚀速度,CCl4作为 Cl元素的供给源,用于刻蚀半导体层。
本发明的实施例所提出的薄膜晶体管的制作方法,通过在对薄膜晶体管蚀刻前就把残留的光刻胶去除,后续最后利用氧气进行灰化处理,以避免有机剥离液污染导致的漏电不佳的状况,改善铜制程阵列TFT器件漏电,提升产品电性稳定性以及产品电学信赖性。
一般的,在薄膜晶体管的导电沟道制作完成后,还通过PECVD工艺沉淀一层厚度为的SiNx绝缘保护层材料,然后通过通过涂布、曝光、显影、干蚀刻、剥离等工艺制程形成过孔结构。
绝缘保护层完成后,通过物理溅射沉淀一层的ITO等透明导电材料,然后通过涂布、曝光、显影、湿蚀刻、剥离等工艺制程形成像素电极结构。
虽然本发明所揭露的实施方式如上,但所述的内容只是为了便于理解本发明而采用的实施方式,并非用以限定本发明。任何本发明所属技术领域内的技术人员,在不脱离本发明所揭露的精神和范围的前提下,可以在实施的形式上及细节上作任何的修改与变化,但本发明的专利保护范围,仍须以所附的权利要求书所界定的范围为准。

Claims (10)

1.一种薄膜晶体管的制作方法,所述方法包括:
在衬底基板上形成第一金属层,图案化所述第一金属层以形成薄膜晶体管的栅极;
在所述栅极上方形成栅极绝缘层;
在所述栅极绝缘层上方依次形成半导体层和第二金属层;
在所述第二金属层上方涂布光刻胶;
刻蚀所述第二金属层与所述半导体层以形成薄膜晶体管的边界区域;
再次刻蚀所述第二金属层以形成薄膜晶体管的源极、漏极及背沟道区域;
采用灰化工艺剥离残留的光刻胶;
再次刻蚀所述半导体层以形成薄膜晶体管的导电沟道。
2.根据权利要求1所述的方法,其特征在于,形成第一金属层和第二金属层,并使所述第一金属层与所述第二金属层均为包括铜材料与缓冲层金属材料的复合层。
3.根据权利要求1所述的方法,其特征在于,所述采用灰化工艺剥离残留的光刻胶,具体包括:
在所述灰化工艺的前半段制程时间内,采用六氟化硫与氧气的混合气体对所述光刻胶进行处理;
在所述灰化工艺的后半段制程时间内,采用氧气对所述光刻胶进行处理。
4.根据权利要求3所述的方法,其特征在于,所述混合气体中六氟化硫与氧气的体积比为1:1-1:7。
5.根据权利要求1所述的方法,其特征在于,在所述第二金属层上方涂布光刻胶之后还包括,利用灰色调光罩图案化所述光刻胶,以剥离与薄膜晶体管的背沟道区域相对应的光刻胶的一部分。
6.根据权利要求5所述的方法,其特征在于,所述刻蚀所述第二金属层与所述半导体层以形成薄膜晶体管的边界区域,具体包括:
利用湿蚀刻法来刻蚀所述第二金属层;
利用干蚀刻法来刻蚀所述半导体层。
7.根据权利要求6所述的方法,其特征在于,在再次刻蚀所述第二金属层以形成薄膜晶体管的源极、漏极及背沟道区域之前还包括,采用灰化工艺剥离部分厚度的光刻胶。
8.根据权利要求1所述的方法,其特征在于,采用四氟化碳或者四氯化碳气体再次刻蚀所述半导体层以形成薄膜晶体管的导电沟道。
9.根据权利要求1所述的方法,其特征在于,采用物理溅射法形成所述第一金属层与第二金属层。
10.根据权利要求1所述的方法,其特征在于,采用等离子体增强化学气相沉积法形成所述栅极绝缘层。
CN201611227216.7A 2016-12-27 2016-12-27 一种薄膜晶体管的制作方法 Active CN107481934B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201611227216.7A CN107481934B (zh) 2016-12-27 2016-12-27 一种薄膜晶体管的制作方法
US15/327,470 US20180212043A1 (en) 2016-12-27 2016-12-29 Method for manufacturing thin film transistor
PCT/CN2016/113155 WO2018119927A1 (zh) 2016-12-27 2016-12-29 一种薄膜晶体管的制作方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201611227216.7A CN107481934B (zh) 2016-12-27 2016-12-27 一种薄膜晶体管的制作方法

Publications (2)

Publication Number Publication Date
CN107481934A true CN107481934A (zh) 2017-12-15
CN107481934B CN107481934B (zh) 2019-11-26

Family

ID=60593912

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201611227216.7A Active CN107481934B (zh) 2016-12-27 2016-12-27 一种薄膜晶体管的制作方法

Country Status (3)

Country Link
US (1) US20180212043A1 (zh)
CN (1) CN107481934B (zh)
WO (1) WO2018119927A1 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019104849A1 (zh) * 2017-11-30 2019-06-06 武汉华星光电半导体显示技术有限公司 薄膜晶体管的制作方法及阵列基板的制作方法
CN110335871A (zh) * 2019-06-11 2019-10-15 惠科股份有限公司 阵列基板的制备方法、阵列基板及显示面板
CN110400754A (zh) * 2018-04-25 2019-11-01 南京中电熊猫平板显示科技有限公司 一种氧化物半导体薄膜晶体管的制造方法
CN110783266A (zh) * 2019-11-14 2020-02-11 Tcl华星光电技术有限公司 一种改善金属走线底切现象的制备方法
CN110854068A (zh) * 2019-10-28 2020-02-28 深圳市华星光电技术有限公司 Tft阵列基板的制备方法及tft阵列基板
CN111029300A (zh) * 2019-11-19 2020-04-17 Tcl华星光电技术有限公司 薄膜晶体管基板的制作方法
CN111477638A (zh) * 2020-04-28 2020-07-31 Tcl华星光电技术有限公司 阵列基板及其制造方法、显示装置
WO2020177145A1 (zh) * 2019-03-01 2020-09-10 深圳市华星光电半导体显示技术有限公司 显示面板及其制作方法、蚀刻系统
WO2020258462A1 (zh) * 2019-06-24 2020-12-30 深圳市华星光电半导体显示技术有限公司 一种显示面板及其制备方法
CN112750764A (zh) * 2020-12-30 2021-05-04 滁州惠科光电科技有限公司 一种阵列基板的制程方法、阵列基板和显示面板
CN113488390A (zh) * 2021-06-21 2021-10-08 深圳市华星光电半导体显示技术有限公司 一种薄膜晶体管的制备方法及薄膜晶体管

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107316815B (zh) * 2017-06-30 2019-12-20 京东方科技集团股份有限公司 薄膜晶体管及其制备方法、阵列基板和显示装置
CN108417583B (zh) * 2018-03-09 2021-10-29 惠科股份有限公司 一种阵列基板的制造方法和阵列基板
CN109524303B (zh) * 2018-11-23 2021-03-19 京东方科技集团股份有限公司 导电图形及其制作方法、显示基板、显示装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000012521A (ja) * 1998-06-19 2000-01-14 Sony Corp プラズマアッシング方法
CN102237305A (zh) * 2010-05-06 2011-11-09 北京京东方光电科技有限公司 阵列基板及其制造方法和液晶显示器
CN103000581A (zh) * 2012-12-14 2013-03-27 京东方科技集团股份有限公司 一种薄膜晶体管阵列基板的制作方法
CN104103498A (zh) * 2014-07-23 2014-10-15 西安神光安瑞光电科技有限公司 一种提高光刻胶灰化率的icp干式清洗方法
CN105824202A (zh) * 2016-05-11 2016-08-03 上海华虹宏力半导体制造有限公司 光刻胶去除方法及半导体器件制作方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010027023A1 (en) * 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
CN101807585B (zh) * 2009-02-18 2012-04-04 北京京东方光电科技有限公司 Tft-lcd阵列基板及其制造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000012521A (ja) * 1998-06-19 2000-01-14 Sony Corp プラズマアッシング方法
CN102237305A (zh) * 2010-05-06 2011-11-09 北京京东方光电科技有限公司 阵列基板及其制造方法和液晶显示器
CN103000581A (zh) * 2012-12-14 2013-03-27 京东方科技集团股份有限公司 一种薄膜晶体管阵列基板的制作方法
CN104103498A (zh) * 2014-07-23 2014-10-15 西安神光安瑞光电科技有限公司 一种提高光刻胶灰化率的icp干式清洗方法
CN105824202A (zh) * 2016-05-11 2016-08-03 上海华虹宏力半导体制造有限公司 光刻胶去除方法及半导体器件制作方法

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019104849A1 (zh) * 2017-11-30 2019-06-06 武汉华星光电半导体显示技术有限公司 薄膜晶体管的制作方法及阵列基板的制作方法
CN110400754A (zh) * 2018-04-25 2019-11-01 南京中电熊猫平板显示科技有限公司 一种氧化物半导体薄膜晶体管的制造方法
WO2020177145A1 (zh) * 2019-03-01 2020-09-10 深圳市华星光电半导体显示技术有限公司 显示面板及其制作方法、蚀刻系统
CN110335871A (zh) * 2019-06-11 2019-10-15 惠科股份有限公司 阵列基板的制备方法、阵列基板及显示面板
US11961852B2 (en) 2019-06-11 2024-04-16 HKC Corporation Limited Manufacture method of array substrate, array substrate, and display panel
CN110335871B (zh) * 2019-06-11 2021-11-30 惠科股份有限公司 阵列基板的制备方法、阵列基板及显示面板
WO2020258462A1 (zh) * 2019-06-24 2020-12-30 深圳市华星光电半导体显示技术有限公司 一种显示面板及其制备方法
CN110854068A (zh) * 2019-10-28 2020-02-28 深圳市华星光电技术有限公司 Tft阵列基板的制备方法及tft阵列基板
CN110783266A (zh) * 2019-11-14 2020-02-11 Tcl华星光电技术有限公司 一种改善金属走线底切现象的制备方法
CN111029300A (zh) * 2019-11-19 2020-04-17 Tcl华星光电技术有限公司 薄膜晶体管基板的制作方法
CN111029300B (zh) * 2019-11-19 2022-09-09 Tcl华星光电技术有限公司 薄膜晶体管基板的制作方法
CN111477638A (zh) * 2020-04-28 2020-07-31 Tcl华星光电技术有限公司 阵列基板及其制造方法、显示装置
CN111477638B (zh) * 2020-04-28 2023-10-17 Tcl华星光电技术有限公司 阵列基板及其制造方法、显示装置
CN112750764A (zh) * 2020-12-30 2021-05-04 滁州惠科光电科技有限公司 一种阵列基板的制程方法、阵列基板和显示面板
CN113488390A (zh) * 2021-06-21 2021-10-08 深圳市华星光电半导体显示技术有限公司 一种薄膜晶体管的制备方法及薄膜晶体管
CN113488390B (zh) * 2021-06-21 2023-09-26 深圳市华星光电半导体显示技术有限公司 一种薄膜晶体管的制备方法及薄膜晶体管

Also Published As

Publication number Publication date
WO2018119927A1 (zh) 2018-07-05
CN107481934B (zh) 2019-11-26
US20180212043A1 (en) 2018-07-26

Similar Documents

Publication Publication Date Title
CN107481934A (zh) 一种薄膜晶体管的制作方法
US9761616B2 (en) Manufacturing method of array substrate with reduced number of patterning processes array substrate and display device
US11398505B2 (en) Display substrate and manufacturing method thereof, display panel, and display device
US10418391B2 (en) Display substrate, manufacture method thereof, and display device
CN105093636B (zh) 触控显示基板及其制备方法和触控显示面板
CN105845737B (zh) 薄膜晶体管及其制造方法、阵列基板、显示装置
US10050151B2 (en) Dual-gate TFT array substrate and manufacturing method thereof, and display device
CN105137672B (zh) 阵列基板及其制造方法
US20180188618A1 (en) Manufacturing method of fringe field switching array substrate
CN105742292A (zh) 阵列基板的制作方法及制得的阵列基板
CN109524419A (zh) Tft阵列基板的制作方法
CN103928475A (zh) Tft阵列基板、显示面板及其制作方法
CN105892221A (zh) 半色调掩模板及tft基板的制作方法
CN104241296B (zh) 一种阵列基板及其制作方法和显示装置
CN107799466A (zh) Tft基板及其制作方法
CN104091806B (zh) 一种阵列基板及其制作方法和显示面板
CN106920753A (zh) 薄膜晶体管及其制作方法、阵列基板和显示器
CN108646487A (zh) Ffs型阵列基板的制作方法及ffs型阵列基板
CN103412444A (zh) 一种阵列基板及其制作方法和显示面板
CN107564809A (zh) Igzo膜层的蚀刻液及其蚀刻方法
CN108022875B (zh) 薄膜晶体管的制作方法及阵列基板的制作方法
US20170012065A1 (en) Array substrate, a method for manufacturing the same, and display device
CN103928397B (zh) 一种tft阵列基板及其制备方法和显示装置
US10325935B2 (en) Display panel, production method of the same, and display apparatus
CN107768307A (zh) 背沟道蚀刻型tft基板及其制作方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant