CN107004598A - 过孔阻挡层 - Google Patents

过孔阻挡层 Download PDF

Info

Publication number
CN107004598A
CN107004598A CN201480083592.6A CN201480083592A CN107004598A CN 107004598 A CN107004598 A CN 107004598A CN 201480083592 A CN201480083592 A CN 201480083592A CN 107004598 A CN107004598 A CN 107004598A
Authority
CN
China
Prior art keywords
groove
layer
metal
barrier layer
insulator layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480083592.6A
Other languages
English (en)
Other versions
CN107004598B (zh
Inventor
R·胡拉尼
M·克雷萨克
F·格瑟特莱恩
R·A·布雷恩
M·T·博尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN107004598A publication Critical patent/CN107004598A/zh
Application granted granted Critical
Publication of CN107004598B publication Critical patent/CN107004598B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

公开了用于使在给定互连层内的选定过孔绝缘或电隔离的技术,所以导电布线可在那些选定隔离过孔之上跳过以到达在该同一层中的其它过孔或互连。这样的过孔阻挡层可按需要选择性地实现在给定互连内的任何数量的位置中。还提供用于形成过孔阻挡层的技术,包括第一方法,其使用牺牲钝化层来便于绝缘体材料的选择性沉积,该绝缘体材料形成过孔阻挡层;第二方法,其使用湿凹进聚合物制剂的旋涂来便于绝缘体材料的选择性沉积,该绝缘体材料形成过孔阻挡层;以及第三方法,其使用纳米粒子制剂的旋涂来便于绝缘体材料的选择性沉积,该绝缘体材料形成过孔阻挡层。避免了一般与共形沉积工艺相关联的有害的蚀刻工艺。

Description

过孔阻挡层
背景技术
在集成电路的制造中,通常使用铜双镶嵌工艺在半导体衬底上形成互连。这样的工艺一般开始于沟槽被蚀刻到电介质层中并接着使用物理气相沉积(PVD)溅射工艺被填充有阻隔/粘附层和种子层。电镀工艺接着用于利用铜金属填充过孔和沟槽以形成互连。然而,当设备尺寸按比例缩小且特征变得更窄时,特征的高宽比变得更有挑衅性。一般,在集成电路结构的给定层内存在多个过孔。在结构的一个区域中的过孔可由穿过一个或多个随后的电介质层的布线连接到在结构的其它区域中的过孔或互连。
附图说明
图1示出根据本公开的实施例配置的集成电路结构。
图2a-c示出根据本公开的实施例的用于使用选择性沉积来形成过孔阻挡层的各种工艺细节。
图2d-f示出在图2a-c中所示的示例性工艺的可选横截面视图。
图3a-d示出根据本公开的另一实施例的用于使用旋涂来形成过孔阻挡层的各种工艺细节。
图3e-h示出在图3a-d中所示的示例性工艺的可选横截面视图。
图4示出根据本公开的各种实施例的用于使用选择性沉积来形成过孔阻挡层的另外的工艺细节。
图5示出根据本公开的各种实施例的用于使用旋涂来形成过孔阻挡层的另外的工艺细节。
图6a示出根据本公开的实施例的可在用于形成过孔阻挡层的基于旋涂的工艺中使用的纳米粒子的化学结构。
图6b示出根据本公开的实施例的配置有使用纳米粒子制造的过孔阻挡层的实际互连结构的扫描电子显微镜(SEM)图像。
图7示出使用包括根据本公开的实施例配置的互连结构的一个或多个集成电路实现的计算系统。
具体实施方式
公开了用于使在给定互连层内的选定过孔绝缘或电隔离的技术,所以导电布线可在那些选定隔离过孔之上跳过以到达在该同一层中的其它过孔或互连。这样的过孔阻挡层可按需要选择性地实现在给定互连内的任何数量的位置中。用于形成过孔阻挡层的第一实施例包括沟槽侧壁的选择性钝化,随后是绝缘体材料的选择性沉积以覆盖在沟槽的底部处的金属。钝化层在性质上是牺牲的,因为它在绝缘体材料被沉积之后被移除,并可使用阻止或否则抑制绝缘体材料的随后沉积粘附到沟槽侧壁的任何材料来实现。用于形成过孔阻挡层的第二实施例包括湿凹进绝缘体材料的使用,其将存在的那些材料有效地限制到在沟槽的底部处的金属。在一种这样的情况下,绝缘体材料由聚合材料的无蚀刻湿凹进形成以提供过孔阻挡层,聚合材料在固化时可转变成平滑的电介质膜。在另一这样的情况下,通过在有机溶剂中悬浮电介质纳米粒子溶液来形成过孔阻挡层的绝缘体材料。这些粒子可以可控地被旋涂并限制到具有期望厚度的沟槽的底部,并在固化时最终转换成平滑绝缘电介质膜,以提供过孔阻挡层。
一般概述
如前面解释的,在一般互连结构中,在每个电介质层或所谓的层间电介质(ILD)层内存在多个过孔。在传统上,在给定层的一个区域中的过孔可由穿过一个或多个随后的电介质层的布线电连接到在该同一层的其它区域中的过孔或互连。这样的多层布线常常会增加集成电路结构的厚度,并进一步涉及额外的复杂处理步骤。为了这个目的,存在对互连布线选择的需要。
因此且根据本公开的实施例,提供用于使在给定ILD层内的选定过孔绝缘或电隔离的技术,所以导电布线可在那些选定隔离过孔之上跳过以到达在该同一ILD层中的其它过孔或互连。通常,这样的横向导电互连是不可能的,因为将出现在非隔离过孔之间的非故意短路。因此且如按照本公开将认识到的,在本文提供的技术允许在给定互连结构的每个ILD层内的更多布线选择。选择性地涂敷的绝缘体层可例如被实现为相对薄的图案化的电绝缘电介质膜。选择性涂敷的绝缘层可通常被认为是反向过孔连接或反过孔或过孔阻挡层。为了简单的目的,接下来,这样的绝缘层在本文被称为过孔阻挡层。
过孔阻挡层可按需要实现在给定互连内的任何数量的位置中。例如,过孔阻挡层可设置在给定源级/漏极(S/D)触点和局部互连之间。在更一般的意义上,一个或多个中间过孔可与这样的过孔阻挡层电隔离,以便可借助于穿过中间的但电隔离的过孔延伸的横向导电互连来电连接在该同一层内的两个外部过孔。所以,即使中间过孔金属可与横向导电互连接触,中间过孔本身也通过过孔阻挡层的操作是电开路的。按照本公开,很多其它使用和配置将是明显的。如按照本公开进一步认识到的,通过允许在给定ILD层中的过孔被绕过或跳过或以另外方式被电隔离,在该ILD层内的布线可以更正交于栅极方向(OGD),且更平行于栅极方向(PGD)。这样的正交性取决于设备架构,在局部互连(有时被称为M0和M1)上的层(例如层M2、M3等)中是特别有用的。这又允许更多的单元间(PGD)和单元内(OGD)布线资源和灵活性,且极大地增加块密度。
如按照本公开将进一步认识到的,使用传统技术(例如共形沉积,随后是光刻和蚀刻步骤)来图案化或沉积在互连内的特定位置中的过孔阻挡层将是非常困难的。例如,这样的传统工艺的蚀刻要求是相对苛刻的,并可能损坏其它部件。而且,在沟槽壁上的共形沉积由于增加的高宽比而增加随后的间隙填充的难度。为了这个目的且根据实施例,在本文提供的方法允许在给定沟槽的底部处的金属选择性地被绝缘体材料层(或反过孔或反向过孔)加盖或覆盖而不用绝缘体材料损坏沟槽的侧壁。随后设置在过孔和上沟槽中的金属因此与下层金属电隔离。因此,选择性设置的绝缘体材料被称为过孔阻挡层。
用于在过孔阻挡层被需要的位置中沉积绝缘体材料的第一实施例包括沟槽侧壁的选择性钝化,随后是绝缘体材料到沟槽的底部中的选择性原子层沉积(ALD)。在一些这样的实施例中,选择性钝化选择性地只应用于沟槽侧壁。在其它实施例中,选择性钝化应用于沟槽侧壁和底部,但接着选择性地从沟槽底部被移除。在任何这样的情况下,钝化层在性质上是牺牲的,因为它在绝缘体材料被沉积之后被移除,并可使用阻止绝缘体材料的随后沉积粘附到沟槽侧壁的任何材料来实现。根据示例性实施例,通过使用自组装单层(SAM)选择性地只钝化电介质来实现牺牲钝化层。如按照本公开将认识到的,这样的方法阻止在电介质(沟槽侧壁)上的ALD沉积但维持平滑绝缘膜在金属(沟槽底部)上的沉积。在一些实施例中,绝缘体材料可以是例如高k电介质材料。其它实施例可使用任何适当的绝缘体材料,例如二氧化硅。虽然以这种方式(例如对过孔阻挡层使用牺牲钝化来保护侧壁和高k电介质)形成的过孔阻挡层的厚度可从一个实施例到下一实施例改变,并将取决于诸如所使用的绝缘体材料的介电常数和与正被制造的互连或集成电路的特定节点相关联的电流/电压分布等因素,然而在一些这样的示例性实施例中,过孔阻挡层的厚度在2-3nm的范围内。
用于在过孔阻挡层被需要的位置中沉积绝缘体材料的第二实施例包括使用湿凹进电介质,其将存在的电介质材料限制到在待绝缘或以另外方式电隔离的金属上、沟槽的底部。在一种这样的情况下,绝缘体材料由聚合材料的无蚀刻湿凹进形成以提供过孔阻挡层,聚合材料在固化时可最终转变成平滑的电介质膜。在另一这样的情况下,通过在有机溶剂中悬浮金属氧化物纳米粒子溶液来形成过孔阻挡层的绝缘体材料。这些粒子可以可控地被旋涂并限制到具有期望厚度的沟槽的底部,并在固化时最终转换成平滑绝缘电介质膜,以提供过孔阻挡层。虽然以这种方式(例如对过孔阻挡层使用聚合或纳米粒子制剂的旋涂)形成的过孔阻挡层的厚度可从一个实施例到下一实施例改变,并将取决于诸如所使用的绝缘体材料和与该特定的电路节点相关联的电流/电压分布等因素,然而在一些这样的示例性实施例中,过孔阻挡层的厚度在5-10nm的范围内。
因此,根据实施例,提供用于构造在期望位置中的过孔阻挡层而不需要共形沉积从而消除侵略性的蚀刻工艺的技术。技术也防止在沟槽侧壁上的沉积,其导致较高的高宽比,这又使金属填充变得更难(例如填充金属沉积引起在沟槽的顶部处的夹断从而留下在沟槽底部中的未填充的空隙的情况)。按照本公开,很多配置和实施例将是明显的。
系统架构
图1示出根据本公开的实施例配置的集成电路结构。如可看到的,结构包括设备层和多个ILD层,每个ILD层具有在其中的金属特征。结构可以是例如存储器,例如动态随机存取存储器(DRAM)。如可看到的,衬底的设备层配置有在其中集成的各种存储器单元部件,例如存取晶体管T和字线WL。这样的存储器设备一般包括多个位单元,每个单元通常包括通过由字线门控的存取晶体管通信地耦合到位线的存储电容器。也可包括未示出的其它类型的存储器部件和特征(例如,行和列选择电路、感测电路、功率选择电路等)。
每个ILD层包括在电介质材料内形成的各种金属线(M1、M1’和M1’、M2、M2’和M2”)和对应的过孔(V0、V0’、V0”、V1、V1’和V”)。注意,所示布局并不旨在暗示任何特定的特征间隔或密度。更确切地,这个布局仅仅是个任意性示例,且任何数量的布局设计可受益于本公开的实施例,其中过孔阻挡层如本文所述的被形成。在这个示例性结构中的每个ILD层通常通过蚀刻停止层与相邻层隔离或以另外方式分界,如有时完成的。此外,这个示例性实施例的每个金属线和过孔配置有阻隔层以防止金属迁移到ILD中。其它实施例可包括更少或更多这样的层(例如阻隔层、粘合层和/或衬层)。
在这个特定的示例性实施例中,过孔V1’通过过孔阻挡层101与下层金属线M1’电隔离。因此,过孔V1’进一步被指定为隔离/跳过的过孔105。如在本文解释的,阻挡层101是中断在过孔与其相邻导体之间的电接触以便允许该特定的过孔被跳过的绝缘体层。所以,在图1所示的这个示例性配置中,横向互连103通过在金属线M2’之上通过(或穿过金属线M2’,视情况而定)来将金属线M2电连接到金属线M2”,金属线M2’通过隔离/跳过的过孔105的操作被电隔离。注意,金属线M2’仍然可填充有金属并像所有其它金属线一样被处理,即使它将与下层电路电隔离。同样,注意,横向互连103可实际上直接穿过金属线M2’或以另外方式与金属线M2’处于导电接触。
如将认识到的,这样的过孔阻挡层101可设置在给定互连结构的任何部分中,且本公开并不旨在限于任何特定的位置。如将进一步认识到的,如在本文提供的过孔阻挡层101可具有任何数量的形状和剖面且不需要是平面的。更确切地,在其它实施例中,过孔阻挡层101可以是非平面的,并包括垂直区段、水平区段、对角线区段和/或圆形或弯曲区段。过孔阻挡层101也不需要在它的整个长度上具有均匀的厚度。为了这个目的,过孔阻挡层101可形成有任何数量的剖面、形状和几何结构,只要它们提供电绝缘/隔离效应,使得对应的导体可被跳过而不引起集成电路的短路或错误的操作。很多布线方案因此是可能的。
图2a-f示出根据本公开的实施例的用于使用选择性沉积和示例性所产生的结构来形成过孔阻挡层的各种工艺细节。如按照本公开将认识到的,在本文提供的技术可用于制造包括任何类型的晶体管拓扑(包括平面拓扑和非平面拓扑,例如基于鳍状物的晶体管、基于纳米线的晶体管和基于纳米带的晶体管)的集成电路。在这个示例性实施例中,假设基于鳍状物的拓扑,其中图2a-c示出鳍状物区的横截面侧视图,而图2d-f示出源极/漏极区的可选的横截面侧视图(从图2a-c的视图旋转90度的视图)。通常,向下走向的(左到右)对角交叉影线部分是电介质材料(例如二氧化硅、氮化硅、高k电介质和低k电介质)和蚀刻停止层(例如氮化硅),且无花纹或无阴影部分是导电材料(例如,诸如银、金、钨、钛及其合金等金属),除非另有指示。如可进一步看到的,向上走向的(左到右)对角交叉影线部分是半导体鳍状物(在图2d-f中最佳示出),其可以是例如硅、锗、SiGe、III-V材料或某个其它适当的衬底/鳍状物材料,且水平交叉影线代表过孔阻挡层(例如,诸如二氧化硅、氧化铪、氧化硅铪或其它适当的电介质材料等绝缘体材料)。如按照本公开将进一步认识到的,所示的示例性结构的特定细节并不旨在以任何方式来限制,且只被提供来示出可提供阻挡层的不同上下文。实际上,可在任何互连结构中使用如在本文提供的过孔阻挡层,且周围的集成电路结构本身并不是特别相关的。因此,该周围结构的描述是有限的。
如可在图2a和2d中看到的,示例性工艺流程包括使用掩模材料(例如光致抗蚀剂、碳硬掩模或某个其它适当的掩蔽材料)来图案化过孔阻挡区域。图2b和2e示出在暴露的过孔区域中的金属上的绝缘体材料(过孔阻挡体)的选择性沉积,其可使用如参考图4更详细讨论的选择性钝化层来实现。根据一些这样的实施例,这种方法将阻止在沟槽侧壁上的ALD沉积,但维持平滑绝缘高k膜在金属上的沉积。图2c和2f示出在掩模被移除之后的结构,其可例如由干灰、其它适当的蚀刻工艺来实现。该工艺可以以金属填充工艺继续,该金属填充工艺实际上产生跨过结构的顶部延伸的横向导电互连,从而连接所示的第一和第三过孔,其中过孔阻挡层使中间过孔被跳过。也可在沟槽中提供其它特征,例如阻隔层和衬层。
注意在这个实施例中,过孔阻挡层是平面的。在其它实施例中,过孔阻挡层可以是非平面的。在一个这样的情况下,过孔阻挡层配置成如所示的,但也沉积在图2e和2f中所示的两个中间的介入其间的ILD列之上。如前面解释的,过孔阻挡层可以采用需要使一个或多个过孔与在互连结构的该同一层中的其它过孔电隔离的任何形式来实现。
图3a-d示出根据本公开的另一实施例的用于使用旋涂来形成过孔阻挡层的各种工艺细节。针对图2a-f的关于过孔阻挡层的结构和材料及性质的的先前相关讨论在这里同样是可适用的,如将认识到的。图3a和3e示出在过孔阻挡体材料(例如,高k聚合材料或载有纳米粒子的制剂或其它适当的旋压绝缘体涂层)被旋涂到结构上之后所产生的示例性结构。图3b和3f示出在湿凹进被执行以移除额外的过孔阻挡体材料之后的示例性产生的结构。注意在这个示例性情况下,除了金属过孔被电隔离(图3f)之外,过孔阻挡层也在中间电介质材料之上通过。
根据实施例,图3c和3g示出在对局部互连(或半导体的其它特征)图案化掩模之后的示例性产生的结构,以及图3d和3h示出在移除过多的过孔阻挡体材料的湿剥离工艺之后所产生的结构。在这个示例性情况下,注意,掩模包括蚀刻停止层以进一步有助于形成工艺。特别是,对于湿剥离化学过程,蚀刻停止材料的剥离速率慢于过孔阻挡体材料的剥离速率。转而将对于图5提供用于制造过孔阻挡层的示例性旋压形成工艺的另外的细节。
方法
图4示出根据本公开的各种实施例的用于使用选择性沉积来形成过孔阻挡层的另外的工艺细节。如可看到的,提供两个示例性工艺流程(A和B)。通常,每个工艺流程涉及使用牺牲钝化层,其阻止或否则抑制用于过孔阻挡层的随后沉积的绝缘体材料粘附到沟槽侧壁。以这种方式,牺牲钝化层允许过孔阻挡绝缘体材料的选择性沉积。如将认识到的,图4已被简化以只示出具有将由在沟槽下面的过孔阻挡层覆盖的金属、在ILD层中形成的沟槽。很多其它配置将是明显的。例如,沟槽可具有下过孔部分和较宽的上线部分。此外,虽然示出金属在沟槽之下,在其它实施例中,金属可实际上在沟槽内。进一步注意,沟槽配置可改变。例如,在另一实施例中,沟槽是具有包含下部金属的下过孔部分和包含填充金属的上沟槽部分(例如金属线或其它导电特征)的双镶嵌沟槽。在这样的实施例中,注意,下部金属可以在双镶嵌沟槽的下部分内部或在该沟槽的下部分之下。此外,沟槽还可包括一个或多个阻隔层或衬层。在本文提供的技术可与任何这样的配置一起使用。
工艺流程A:工艺流程A包括在401的选择性钝化,其中牺牲钝化层只设置在沟槽侧壁上而不在沟槽底部上。因此,在沟槽的底部处的金属保持未被牺牲钝化层覆盖。该工艺流程在403继续,403包括绝缘体材料的选择性沉积,该绝缘体材料将形成过孔阻挡层101。在一些示例性实施例中,选择性沉积的绝缘体材料可以是例如任何适当的氧化物,例如SiO2或高k栅极电介质材料。工艺流程A在405以钝化移除继续。
工艺流程B:工艺流程B包括在402的沟槽的非选择性钝化,其中牺牲钝化层设置在沟槽侧壁上和沟槽底部上。工艺流程在404继续,404包括钝化层从沟槽底部的选择性移除,其可例如通过定向(各向异性)蚀刻来完成。工艺流程在406继续,406包括绝缘体材料的选择性沉积,该绝缘体材料将形成过孔阻挡层101。在一些示例性实施例中,选择性沉积的绝缘体材料可以是例如任何适当的氧化物,例如SiO2或高k栅极电介质材料。工艺流程A在408以钝化移除继续。
可对在403和406沉积的绝缘体材料使用的高k电介质材料的示例包括例如氧化铪、氧化硅铪、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽和铌锌酸铅。在一些实施例中,当高k材料被使用时,可在过孔阻挡层101上执行退火工艺以提高它的质量。通常,过孔阻挡层101的厚度应足以使目标过孔与它的相邻金属互连特征电隔离。
如按照本公开将认识到的,在403和406处的选择性沉积可通过首先在401或402沉积牺牲阻挡层来设计。在一个实施例中,使用在沟槽表面上的自组装单层(SAM)来实现牺牲钝化层,其中不需要高k或其它绝缘体膜(过孔阻挡体)。可例如由具有长链烷(十八烷基三氯硅烷、三甲氧基(十八烷基)硅烷等)或碳氟化合物(三乙氧基(3,3,4,4,5,5,6,6,7,7,8,8,9,9,10,10,10-十七氟癸基,1-(3,3,4,4,5,5,6,6,7,7,8,8,9,9,10,10,10-十七氟癸基)-N,N,N’,N’,N”,N”-六甲基硅烷三胺等)硅烷、链的硅烷化学物(例如氯代、烷氧基和氨基硅烷)来制造优先附着到电介质表面(例如沟槽侧壁)的SAM。如将认识到的,根据实施例,碳链长度可从10-20个碳改变。按照本公开,允许过孔阻挡材料在沟槽底部中的选择性沉积的其它适当的牺牲钝化材料将是明显的。
在一个特定的示例性实施例中,钝化剂分子形成阻挡除了待阻挡/隔离的金属表面以外的所有表面的均厚单层。也可使用其它厚度,取决于用于钝化层的材料。如果由于例如这种金属和电介质表面上的钝化剂的可能的反应性,钝化层的选择性是不足的,则热退火(例如450℃下30分钟)和湿蚀刻(例如50:1HF:H2O下1分钟)中的至少一个可用于完全移除与金属表面起反应的任何钝化剂,同时维持在电介质部分(沟槽侧壁)上的钝化。
在沉积过孔阻挡层101的绝缘体材料之后,可例如通过在高于450℃的温度下的热处理和其它湿蚀刻条件中的至少一个来执行在405和408的钝化层移除。例如,在一个示例性实施例中,牺牲钝化层使用子组装单层来实现并可通过任何湿方法(例如使用氧化或还原剂以破坏在衬底和单层之间的共价键)通过蚀刻掉衬底的非常薄的层从而释放分子来被移除。根据几个示例性实施例,所产生的过孔阻挡层101可具有在例如0.5-10nm或1-5nm或2-3nm的范围内的厚度。
存在适合于与基于SMA的钝化层阻挡兼容的、沉积高k材料(例如HfO2或ZrO2)的多个低温ALD工艺。例如,四(二甲胺基)铪在ALD工艺中在250℃下与水起反应以产生具有良好的电/泄漏特性的HfO2膜,如由下面的方程1进一步指示的。
一旦提供过孔阻挡层101,就可执行随后的金属填充沉积工艺以填充在互连层中,其中过孔阻挡层101允许该沟槽被电隔离并被跳过,如前面解释的。按照本公开,该层的其它工艺将是明显的,例如基于CMP的平面化和蚀刻停止层的沉积。
图5示出根据本公开的各种实施例的用于使用旋涂来形成过孔阻挡层的另外的工艺细节。如可看到的,提供两个示例性工艺流程(A和B)。通常,每个工艺流程涉及用于沉积用于过孔阻挡层的绝缘体材料的旋涂工艺的使用。如将认识到的,图5被简化以仅示出具有将由在沟槽下面的过孔阻挡层覆盖的金属、在ILD层中形成的沟槽。很多其它配置将是明显的。例如,沟槽可具有下过孔部分和较宽的上线部分。此外,虽然示出金属在沟槽之下,在其它实施例中,金属可实际上在沟槽内。此外,沟槽还可包括一个或多个阻隔层或衬层。在本文提供的技术可与任何这样的配置一起使用。
工艺流程A:工艺流程A包括在501的纳米粒子制剂的旋涂,从而提供载有纳米粒子的绝缘体材料的沉积,该绝缘体材料将形成过孔阻挡层101。在一些示例性实施例中,旋压纳米粒子制剂包括例如HfOx、ZrOx、TiOx、WOx、TaOx和CeOx,仅举几个示例。在一个特定的示例性情况下,氧化铪纳米粒子被涂覆在甲基丙烯酸酯和苯酸盐配体的混合物中以促进在共同的有机纺丝溶剂中的可溶性。图6a示出这样的HfBZA纳米粒子的化学结构。如将认识到的,粒子尺寸可从一个实施例到下一实施例改变(例如1-10nm),但在一个示例性情况中,纳米粒子的直径在1-2nm的范围内,以便实现窄沟槽的良好间隙填充。在一个特定的这样的示例性工艺流程中,在丙二醇甲醚醋酸酯或所谓的PGMEA(例如在PGMEA中的1.6wt%粒子)中的稀释的纳米粒子溶液被分配到晶圆上并被搅拌约5秒钟,然后被分离,以便允许纳米粒子沉淀到沟槽的底部中。示例性工艺流程A在503进一步以低温软烘烤继续,以去除纳米粒子溶液中过多的溶剂(例如110℃下60秒),随后是在505的高温硬烘烤以移除有机配体并使氧化铪核心热交联(例如400-450℃下1-2小时)以形成过孔阻挡层101。
工艺流程B:工艺流程B包括在502的湿凹进聚合物制剂的旋涂,从而提供载有聚合物的绝缘体材料的沉积,该绝缘体材料将形成过孔阻挡层101。在一些示例性实施例中,旋压聚合物制剂包括例如HfOx、ZrOx、TiOx、WOx、TaOx和CeOx。如可进一步看到的,通过旋涂沉积到现有沟槽中的载有聚合物的绝缘体材料可形成覆盖层。工艺流程在504以软烘烤继续,以移除过多的溶剂(例如80-250℃下1-30分钟),且还可包括平面化以提供期望程度的厚度均匀性。工艺流程在506以湿凹进继续,以使载有聚合物的绝缘体材料凹进到期望厚度。在一个示例性实施例中,例如在有机溶剂(例如PGMEA、四甲基氢氧化铵或所谓的TMAH、乳酸乙酯、甲苯、甲基乙基甲酮、乙酸丁酯、丙酮、茴香醚或其它非极性溶剂)中的材料的受控溶解用于使载有聚合物的绝缘体材料凹进到期望厚度。最后,晶圆受到高温固化(例如,400-450℃下1-2小时)以使剩余的材料致密和交联。
根据几个示例性实施例,从这样的基于旋涂的工艺流程产生的因而产生的过孔阻挡层101可具有在例如2-15nm或4-12nm或5-10nm的范围内的厚度。图6b示出根据实施例的配置有根据图5的工艺流程A使用旋涂的纳米粒子制造的过孔阻挡层101的实际互连结构的扫描电子显微镜(SEM)图像。使用具有纳米粒子的HfO2膜来实现这个示例性阻挡层101。
正如参考图4解释的一样,一旦提供了图5所示的过孔阻挡层101,就可执行随后的金属填充沉积工艺以填充在互连层中,其中过孔阻挡层101允许该沟槽被电隔离并被跳过,如前面解释的。按照本公开,用于该层的其它工艺是明显的,例如阻隔层的沉积、基于CMP的平面化和蚀刻停止层的沉积。
示例性系统
图7示出使用包括根据本公开的示例性实施例配置和/或以另外方式制造的互连结构的一个或多个集成电路实现的计算系统700。如可看到的,计算系统700容纳母板702。母板702可包括多个部件,包括但不限于处理器704和至少一个通信芯片706,其中每个可物理地和电气地耦合到母板702或以另外方式集成在其中。如将认识到的,母板702可以是例如任何印刷电路板,不管是主板还是安装在主板上的子板或系统700的唯一板等。根据其应用,计算系统700可包括可以或可以不物理地和电气地耦合到母板702的一个或多个其它部件。这些其它部件可包括但不限于易失性存储器(例如DRAM)、非易失性存储器(例如ROM)、图形处理器、数字信号处理器、密码处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编码解码器、视频编码解码器、功率放大器、全球定位系统(GPS)设备、罗盘、加速度计、陀螺仪、扬声器、相机和大容量存储设备(例如硬盘驱动器、光盘(CD)、数字通用盘(DVD)等)。被包括在计算系统700中的任何部件可包括一个或多个集成电路结构,其配置有如在本文各种描述的一个或多个导电互连,例如具有依靠对应的过孔阻挡层101的一个或多个隔离/跳过的过孔105的互连。这些集成电路结构可用于例如实现板载处理器高速缓存存储器或存储器阵列或包括互连的其它电路特征。在一些实施例中,多个功能可集成到一个或多个芯片中(例如注意,通信芯片706可以是处理器704的部分或否则集成到处理器704中)。
通信芯片706实现无线通信,以用于将数据传输到计算设备700以及从计算设备700传输数据。术语“无线”及其派生词可用于描述可通过使用经由非固体介质的经调制电磁辐射来通信数据的电路、设备、系统、方法、技术、通信通道等。该术语并不暗示相关联的设备不包含任何电线,虽然在一些实施例中它们可以不包含电线。通信芯片706可实现多种无线标准或协议中的任一个,包括但不限于Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其派生物以及被指定为3G、4G、5G和更高代的任何其它无线协议。计算系统700可包括多个通信芯片706。例如,第一通信芯片706可专用于较短距离无线通信,例如Wi-Fi和蓝牙,而第二通信芯片706可专用于较长距离无线通信,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO以及其它。
计算系统700的处理器704包括封装在处理器704内的集成电路管芯。在本公开的一些实施例中,处理器的集成电路管芯包括板载存储器电路,该板载存储器电路使用配置有如在本文各种描述的一个或多个导电互连特征的一个或多个集成电路结构来实现。术语“处理器”可以指处理例如来自寄存器和/或存储器的电子数据以将该电子数据转换成可存储在寄存器和/或存储器中的其它电子数据的任何设备或设备的部分。
通信芯片706还可包括封装在通信芯片706内的集成电路管芯。根据一些这样的示例性实施例,通信芯片的集成电路管芯包括使用如在本文各种描述的形成的一个或多个集成电路结构实现的一个或多个设备(例如,具有一个或多个过孔阻挡层的互连)。如按照本公开将认识到的,注意,多标准无线能力可直接集成到处理器704中(例如,其中任何芯片706的功能集成到处理器704中,而不是具有单独的通信芯片。进一步注意,处理器704可以是具有这样的无线能力的芯片组。简而言之,可使用任何数量的处理器704和/或通信芯片706。同样,任一芯片或芯片组可具有集成在其中的多个功能。
在各种实现方式中,计算系统700可以是膝上型计算机、上网本计算机、笔记本计算机、智能电话、平板计算机、个人数字助理(PDA)、超移动PC、移动电话、桌上型计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数码相机、便携式音乐播放器或数字视频记录器。在另外的实现方式中,系统700可以是处理数据或使用配置有一个或多个导电互连特征的集成电路特征的任何其它电子设备,如在本文中各种描述的。
另外的示例性实施例
下面的示例涉及另外的实施例,很多置换和配置从这些实施例中将是明显的。
示例1是集成电路设备。该设备包括层间电介质(ILD)层,其具有在其中形成的多个沟槽,每个沟槽具有侧壁和由下部金属限定的底部。该设备还包括在被包括在多个沟槽中的中间沟槽的底部上的绝缘体层,绝缘体层覆盖限定中间沟槽的底部的下部金属。该设备还包括在每个沟槽中的填充金属,除了限定中间沟槽的底部的下部金属通过绝缘体层与填充金属隔离以外,填充金属与限定每个沟槽的底部的下部金属电接触。
示例2包括示例1的主题,其中多个沟槽包括三个沟槽,该三个沟槽包括左沟槽、中间沟槽和右沟槽,该设备还包括电连接左沟槽、中间沟槽和右沟槽的填充金属的横向互连。
示例3包括示例1或2的主题,其中绝缘体层包括高k电介质。
示例4包括示例3的主题,其中绝缘体层包括氧化铪、氧化硅铪、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽和铌锌酸铅中的至少一个。
示例5包括前面的示例中的任一个的主题,且还包括以下中的至少一个:在填充金属之上的另一ILD层;在填充金属之上的钝化层;在ILD层之下的电子设备层;在填充金属之上的电子设备层;以及在填充金属之上的接触层。
示例6包括前面的示例中的任一个的主题,其中绝缘体层具有在0.5nm到10nm的范围内的厚度。
示例7包括前面的示例中的任一个的主题,其中绝缘体层的厚度是均匀的,使得绝缘体层的最薄部分是在该层的最厚部分的10%以内、或在该层的最厚部分的5%以内或在该层的最厚部分的2%以内。
示例8包括前面的示例中的任一个的主题,且还包括在沟槽侧壁和填充金属之间的阻隔层。也可提供其它沟槽层,例如衬层、粘附层和种子层。
示例9包括前面的示例中的任一个的主题,其中沟槽是具有包含下部金属的下过孔部分和包含填充金属的上沟槽部分的双镶嵌沟槽。注意,下部金属可在沟槽中或沟槽之下。
示例10是包括前面的示例中的任一个的设备的移动计算系统。
示例11包括示例10的主题,其中系统是智能电话或平板计算机。其它移动计算设备将是明显的,例如穿戴式计算系统(例如,眼镜、手镯、手表、织物)。在又一些其它实施例中,计算系统不需要是移动的,且可以是例如工作站或机顶盒或桌上型计算机或游戏控制台。在更一般的意义上,在本文提供的互连结构可在任何种类的任何电子设备中使用。
示例12是包括示例1-9中的任一个的设备的存储器。存储器可以是易失性的或非易失性存储器(例如,RAM、DRAM、SRAM、闪存、ROM、PROM、EPROM,仅列举几个示例),且可以是独立存储器设备或集成存储器设备(例如板载高速缓存存储器或嵌入式存储器)。
示例13是包括示例1-9中的任一个的设备的处理器。处理器可以是例如中央处理单元、图形处理器、协处理器、视频处理器或微控制器。任何这样的处理环境可使用在本文提供的各种互连结构。
示例14是包括示例1-9中的任一个的设备的通信芯片。通信芯片可以是例如用于有线或无线通信链路的Wi-Fi芯片或芯片组或网络接口芯片。任何这样的有线或无线通信设备可使用在本文提供的各种互连结构。
示例15是用于形成互连结构的方法。该方法包括形成层间电介质(ILD)层,该层间电介质(ILD)层具有在其中形成的多个沟槽,每个沟槽具有侧壁和由下部金属限定的底部。该方法还包括在被包括在多个沟槽中的中间沟槽的底部上形成绝缘体层,绝缘体层覆盖限定中间沟槽的底部的下部金属。该方法还包括在每个沟槽中沉积填充金属,除了限定中间沟槽的底部的下部金属通过绝缘体层与填充金属隔离以外,填充金属与限定每个沟槽的底部的下部金属电接触。
示例16包括示例15的主题,其中形成绝缘体层包括:沉积牺牲钝化层,该牺牲钝化层禁止随后沉积的绝缘体材料粘附到沟槽侧壁;以及将绝缘体材料沉积到沟槽中以覆盖限定中间沟槽的底部的下部金属。
示例17包括示例16的主题,其中沉积绝缘体材料包括原子层沉积。
示例18包括示例16或17的主题,其中牺牲钝化层实质上沉积在沟槽侧壁上而并不在限定中间沟槽的底部的下部金属上,从而保持下部金属实质上未由牺牲钝化层覆盖。
示例19包括示例16或17的主题,其中牺牲钝化层沉积在沟槽侧壁上和限定中间沟槽的底部的下部金属上。在一个这样的情况下,工艺还包括:从限定中间沟槽的底部的下部金属选择性地移除钝化层。
示例20包括示例16-19中的任一个的主题,其中沉积牺牲钝化层还包括执行热退火和湿蚀刻中的至少一个,以移除与下部金属起反应的任何钝化剂。
示例21包括示例16-20中的任一个的主题,其中牺牲钝化层利用自组装单层(SAM)来实现。
示例22包括示例16-21中的任一个的主题,且还包括移除牺牲钝化层。
示例23包括示例22的主题,其中移除牺牲钝化层包括热处理和湿蚀刻中的至少一个。
示例24包括示例15的主题,其中形成绝缘体层包括旋涂纳米粒子制剂。
示例25包括示例24的主题,其中纳米粒子制剂包括在甲基丙烯酸酯和苯酸盐配体的混合物中被涂覆的氧化铪纳米粒子。
示例26包括示例24或25的主题,其中纳米粒子制剂包括具有在1-2nm的范围内的直径的纳米粒子。
示例27包括示例24-26中的任一个的主题,且还包括软烘烤以去除过多的溶剂。
示例28包括示例27的主题,且还包括硬烘烤以执行下列操作中的至少一个:移除有机配体和热交联绝缘体层。
示例29包括示例15的主题,其中形成绝缘体层包括湿凹进聚合物制剂的旋涂。
示例30包括示例29的主题,且还包括软烘烤以去除过多的溶剂。
示例31包括示例30的主题,且还包括湿凹进聚合物制剂的平面化。
示例32包括示例30或31的主题,且还包括湿凹进以将湿凹进聚合物制剂凹进到期望厚度。
示例33包括示例30-32中的任一个的主题,且还包括硬烘烤以执行下列操作中的至少一个:使绝缘体层致密和交联。
示例34包括示例15-33中的任一个的主题,其中多个沟槽包括三个沟槽,该三个沟槽包括左沟槽、中间沟槽和右沟槽,该方法还包括形成电连接左沟槽、中间沟槽和右沟槽的填充金属的横向互连。
示例35是集成电路设备。该设备包括层间电介质(ILD)层,其具有在其中形成的多个沟槽,每个沟槽具有侧壁和由下部金属限定的底部,并且其中多个沟槽包括三个沟槽,该三个沟槽包括左沟槽、中间沟槽和右沟槽。该设备还包括在被包括在多个沟槽中的中间沟槽的底部上的绝缘体层,绝缘体层覆盖限定中间沟槽的底部的下部金属,其中绝缘体层包括高k电介质并具有在0.5nm到10nm的范围内的厚度。该设备还包括在每个沟槽中的填充金属,除了限定中间沟槽的底部的下部金属通过绝缘体层与填充金属隔离以外,填充金属与限定每个沟槽的底部的下部金属电接触。该设备还包括电连接左沟槽、中间沟槽和右沟槽的填充金属的横向互连。
示例36包括示例35的主题,且还包括以下中的至少一个:在填充金属之上的另一ILD层;在填充金属之上的钝化层;在ILD层之下的电子设备层;在填充金属之上的电子设备层;以及在填充金属之上的接触层。
示例37包括示例35或36的主题,其中绝缘体层具有在1nm到5nm的范围内的厚度。
示例38包括示例35-37中的任一个的主题,其中绝缘体层的厚度是均匀的,使得绝缘体层的最薄部分在该层的最厚部分的5%以内。
示例39包括示例35-38中的任一个的主题,且还包括在沟槽侧壁和填充金属之间的阻隔层。
示例40包括示例35-39中的任一个的主题,其中沟槽是具有包含下部金属的下过孔部分和包含填充金属的上沟槽部分的双镶嵌沟槽。注意,下部金属可在沟槽中或沟槽之下。
示例41是包括示例35-40中的任一个的设备的移动计算系统。
示例42包括示例41的主题,其中系统是智能电话或平板计算机。其它移动计算设备将是明显的,例如穿戴式计算系统(例如眼镜、手镯、手表、织物)。在又一些其它实施例中,计算系统不需要是移动的,且可以是例如工作站或机顶盒或桌上型计算机或游戏控制台。在更一般的意义上,在本文提供的互连结构可在任何种类的任何电子设备中使用。
示例43是包括示例35-40中的任一个的设备的存储器。存储器可以是易失性的或非易失性的存储器(例如RAM、DRAM、SRAM、闪存、ROM、PROM、EPROM,仅列举几个示例),且可以是独立存储器设备或集成存储器设备(例如,板载高速缓存存储器或嵌入式存储器)。
示例44是包括示例35-40中的任一个的设备的处理器。处理器可以是例如中央处理单元、图形处理器、协处理器、视频处理器或微控制器。任何这样的处理环境可使用在本文提供的各种互连结构。
示例45是包括示例35-40中的任一个的设备的通信芯片。通信芯片可以是例如用于有线或无线通信链路的Wi-Fi芯片或芯片组或网络接口芯片。任何这样的有线或无线通信设备可使用在本文提供的各种互连结构。
为了说明和描述的目的提出了示例性实施例的前述描述。并不旨在无遗漏的或将本公开限制到所公开的精确形式。按照本公开,很多修改和变化是可能的。旨在是本公开的范围不由这个具体实施方式而是更确切地由所附的权利要求来限制。要求本申请的优先权的未来提交的申请可以用不同的方式主张所公开的主题,并可通常包括如在本文各种公开或以另外方式展示的一个或多个限制的任何集合。

Claims (25)

1.一种集成电路设备,包括:
层间电介质(ILD)层,其具有在其中形成的多个沟槽,每个沟槽具有侧壁和由下部金属限定的底部;
绝缘体层,其在被包括在所述多个沟槽中的中间沟槽的底部上,所述绝缘体层覆盖限定所述中间沟槽的底部的所述下部金属;以及
在每个所述沟槽中的填充金属,除了限定所述中间沟槽的底部的所述下部金属通过所述绝缘体层与所述填充金属隔离以外,所述填充金属与限定每个沟槽的底部的所述下部金属电接触。
2.如权利要求1所述的设备,其中所述多个沟槽包括三个沟槽,所述三个沟槽包括左沟槽、中间沟槽和右沟槽,所述设备还包括电连接所述左沟槽、中间沟槽和右沟槽的所述填充金属的横向互连。
3.如权利要求1所述的设备,其中所述绝缘体层包括高k电介质。
4.如权利要求1所述的设备,其中所述沟槽是双镶嵌沟槽,所述双镶嵌沟槽具有包含所述下部金属的下过孔部分和包含所述填充金属的上沟槽部分。
5.一种移动计算系统,其包括权利要求1-4中的任一项的设备。
6.一种存储器,其包括权利要求1-4中的任一项的设备。
7.一种处理器或通信芯片,其包括权利要求1-4中的任一项的设备。
8.一种用于形成互连结构的方法,包括:
形成层间电介质(ILD)层,所述层间电介质(ILD)层具有在其中形成的多个沟槽,每个沟槽具有侧壁和由下部金属限定的底部;
在被包括在所述多个沟槽中的中间沟槽的底部上形成绝缘体层,所述绝缘体层覆盖限定所述中间沟槽的底部的所述下部金属;以及
在每个所述沟槽中沉积填充金属,除了限定所述中间沟槽的所述底部的所述下部金属通过所述绝缘体层与所述填充金属隔离以外,所述填充金属与限定每个沟槽的所述底部的所述下部金属电接触。
9.如权利要求8所述的方法,其中形成所述绝缘体层包括:
沉积牺牲钝化层,所述牺牲钝化层禁止随后沉积的绝缘体材料粘附到所述沟槽侧壁;以及
将所述绝缘体材料沉积到所述沟槽中以覆盖限定所述中间沟槽的所述底部的所述下部金属。
10.如权利要求9所述的方法,其中沉积所述绝缘体材料包括原子层沉积。
11.如权利要求9所述的方法,其中所述牺牲钝化层实质上沉积在所述沟槽侧壁上而不在限定所述中间沟槽的所述底部的所述下部金属上,从而保持所述下部金属实质上未由所述牺牲钝化层覆盖。
12.如权利要求9所述的方法,其中沉积所述牺牲钝化层还包括:
执行热退火和湿蚀刻中的至少一个以移除与所述下部金属起反应的任何钝化剂。
13.如权利要求9所述的方法,其中所述牺牲钝化层利用自组装单层(SAM)来实现。
14.如权利要求9所述的方法,还包括:
移除所述牺牲钝化层,其中移除所述牺牲钝化层包括热处理和湿蚀刻中的至少一个。
15.如权利要求8所述的方法,其中形成所述绝缘体层包括旋涂纳米粒子制剂。
16.如权利要求15所述的方法,其中所述纳米粒子制剂包括在甲基丙烯酸酯和苯酸盐配体的混合物中被涂覆的氧化铪纳米粒子。
17.如权利要求15所述的方法,还包括软烘烤以去除过多的溶剂。
18.如权利要求17所述的方法,还包括硬烘烤以执行下列操作中的至少一个:移除有机配体和热交联所述绝缘体层。
19.如权利要求8所述的方法,其中形成所述绝缘体层包括湿凹进聚合物制剂的旋涂。
20.如权利要求19所述的方法,还包括以下中的至少一个:软烘烤以去除过多的溶剂;所述湿凹进聚合物制剂的平面化。
21.如权利要求20所述的方法,还包括湿凹进以将所述湿凹进聚合物制剂凹进到期望厚度。
22.如权利要求20所述的方法,还包括硬烘烤以执行下列操作中的至少一个:使所述绝缘体层致密和交联。
23.如权利要求8-22中的任一项所述的方法,其中所述多个沟槽包括三个沟槽,所述三个沟槽包括左沟槽、中间沟槽和右沟槽,所述方法还包括形成电连接所述左沟槽、中间沟槽和右沟槽的所述填充金属的横向互连。
24.一种集成电路设备,包括:
层间电介质(ILD)层,其具有在其中形成的多个沟槽,每个沟槽具有侧壁和由下部金属限定的底部,并且其中所述多个沟槽包括三个沟槽,所述三个沟槽包括左沟槽、中间沟槽和右沟槽;
绝缘体层,其在被包括在所述多个沟槽中的中间沟槽的底部上,所述绝缘体层覆盖限定所述中间沟槽的底部的所述下部金属,其中所述绝缘体层包括高k电介质并具有在0.5nm到10nm的范围内的厚度;
填充金属,其在每个所述沟槽中,除了限定所述中间沟槽的底部的所述下部金属通过所述绝缘体层与所述填充金属隔离以外,所述填充金属与限定每个沟槽的所述底部的所述下部金属电接触;以及
横向互连,其电连接所述左沟槽、中间沟槽和右沟槽的所述填充金属。
25.如权利要求24所述的设备,其中所述沟槽是双镶嵌沟槽,所述双镶嵌沟槽具有包含所述下部金属的下过孔部分和包含所述填充金属的上沟槽部分。
CN201480083592.6A 2014-12-23 2014-12-23 过孔阻挡层 Active CN107004598B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2014/072252 WO2016105402A1 (en) 2014-12-23 2014-12-23 Via blocking layer

Publications (2)

Publication Number Publication Date
CN107004598A true CN107004598A (zh) 2017-08-01
CN107004598B CN107004598B (zh) 2021-03-19

Family

ID=56151201

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480083592.6A Active CN107004598B (zh) 2014-12-23 2014-12-23 过孔阻挡层

Country Status (6)

Country Link
US (2) US9899255B2 (zh)
EP (2) EP3238236B1 (zh)
KR (3) KR102379370B1 (zh)
CN (1) CN107004598B (zh)
TW (1) TWI666751B (zh)
WO (1) WO2016105402A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109155279A (zh) * 2016-06-22 2019-01-04 英特尔公司 通路阻断层
CN109427743A (zh) * 2017-08-30 2019-03-05 台湾积体电路制造股份有限公司 用于鳍式场效应晶体管的互连结构及其形成方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102379370B1 (ko) 2014-12-23 2022-03-28 인텔 코포레이션 비아 차단 층
US10157833B1 (en) * 2017-05-23 2018-12-18 Globalfoundries Inc. Via and skip via structures
WO2018236355A1 (en) * 2017-06-20 2018-12-27 Intel Corporation PASSIVANT-BASED SILICURE-BASED APPROACHES FOR MANUFACTURING CONDUCTIVE INTERCONNECT HOLES AND STRUCTURES THUS OBTAINED
US10629540B2 (en) 2017-09-27 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11145541B2 (en) * 2017-09-30 2021-10-12 Intel Corporation Conductive via and metal line end fabrication and structures resulting therefrom
US11121027B2 (en) 2017-12-08 2021-09-14 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer
US10586012B2 (en) 2018-04-25 2020-03-10 International Business Machines Corporation Semiconductor process modeling to enable skip via in place and route flow
US11437284B2 (en) 2018-08-31 2022-09-06 Applied Materials, Inc. Contact over active gate structure
US10930556B2 (en) 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
US10930555B2 (en) 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
US11437273B2 (en) * 2019-03-01 2022-09-06 Micromaterials Llc Self-aligned contact and contact over active gate structures
US20210159163A1 (en) * 2019-11-26 2021-05-27 Intel Corporation Selective interconnects in back-end-of-line metallization stacks of integrated circuitry
EP3882955A1 (en) 2020-03-18 2021-09-22 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk Onderzoek TNO A method of manufacturing a semi-conducting thin film device

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998057373A1 (fr) * 1997-06-13 1998-12-17 Inside Technologies Procede de fabrication d'un circuit integre et circuit integre realise selon ce procede
CN1624923A (zh) * 2001-03-23 2005-06-08 株式会社东芝 半导体器件及其制造方法
US20050280152A1 (en) * 2004-06-22 2005-12-22 International Business Machines Corporation Interlayer connector for preventing delamination of semiconductor device and methods of forming same
CN1835206A (zh) * 2005-02-05 2006-09-20 三星电子株式会社 利用保护性通路盖层形成半导体器件的双镶嵌布线的方法
JP2008205019A (ja) * 2007-02-16 2008-09-04 Toshiba Corp 半導体集積回路およびその製造方法
CN101350344A (zh) * 2007-07-19 2009-01-21 东部高科股份有限公司 半导体器件封装及其制造方法
CN101443918A (zh) * 2005-01-13 2009-05-27 国际商业机器公司 作为高K SiO2栅极叠层上的热稳定P型金属碳化物的TiC
US20120052676A1 (en) * 2010-08-25 2012-03-01 Jong-Min Lee Methods of Fabricating Semiconductor Memory Devices
CN102945800A (zh) * 2004-06-08 2013-02-27 桑迪士克公司 纳米结构的后沉积包封:组合物、器件及包含它们的系统
CN102969317A (zh) * 2011-08-29 2013-03-13 海力士半导体有限公司 具有镶嵌位线的半导体器件及其制造方法
US20130181350A1 (en) * 2012-01-13 2013-07-18 Perry H. Pelley Semiconductor devices with nonconductive vias
TW201342529A (zh) * 2011-12-21 2013-10-16 Intel Corp 無電式填充傳導結構
CN103890939A (zh) * 2011-10-28 2014-06-25 英特尔公司 包括与穿硅过孔组合的细间距单镶嵌后侧金属再分布线的3d互连结构

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4118029B2 (ja) * 2001-03-09 2008-07-16 富士通株式会社 半導体集積回路装置とその製造方法
KR100780680B1 (ko) * 2001-12-20 2007-11-30 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
US20030139034A1 (en) 2002-01-22 2003-07-24 Yu-Shen Yuang Dual damascene structure and method of making same
JP3779243B2 (ja) * 2002-07-31 2006-05-24 富士通株式会社 半導体装置及びその製造方法
US6858442B2 (en) * 2003-02-25 2005-02-22 Infineon Technologies Aktiengesellschaft Ferroelectric memory integrated circuit with improved reliability
JP4776195B2 (ja) * 2004-09-10 2011-09-21 ルネサスエレクトロニクス株式会社 半導体装置
JP2007077276A (ja) * 2005-09-14 2007-03-29 Fujifilm Corp 膜形成用組成物
US7544602B2 (en) * 2007-03-29 2009-06-09 International Business Machines Corporation Method and structure for ultra narrow crack stop for multilevel semiconductor device
JP2009188250A (ja) * 2008-02-07 2009-08-20 Panasonic Corp 半導体装置及びその製造方法
US7871902B2 (en) * 2008-02-13 2011-01-18 Infineon Technologies Ag Crack stop trenches
JP5262974B2 (ja) * 2009-05-12 2013-08-14 凸版印刷株式会社 絶縁性薄膜、絶縁性薄膜の形成用溶液、絶縁性薄膜の製造方法、電界効果型トランジスタ及びその製造方法並びに画像表示装置
US9000534B2 (en) * 2009-06-17 2015-04-07 Globalfoundries Inc. Method for forming and integrating metal gate transistors having self-aligned contacts and related structure
KR20110048194A (ko) * 2009-11-02 2011-05-11 주식회사 동부하이텍 듀얼 다마신 공정 방법
KR101121858B1 (ko) * 2010-04-27 2012-03-21 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US8940635B1 (en) * 2013-08-30 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming interconnect structure
US9172036B2 (en) * 2013-11-22 2015-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Top electrode blocking layer for RRAM device
US10319908B2 (en) * 2014-05-01 2019-06-11 Crossbar, Inc. Integrative resistive memory in backend metal layers
KR102211143B1 (ko) * 2014-11-13 2021-02-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102379370B1 (ko) 2014-12-23 2022-03-28 인텔 코포레이션 비아 차단 층
US9865538B2 (en) * 2016-03-09 2018-01-09 International Business Machines Corporation Metallic blocking layer for reliable interconnects and contacts

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998057373A1 (fr) * 1997-06-13 1998-12-17 Inside Technologies Procede de fabrication d'un circuit integre et circuit integre realise selon ce procede
CN1624923A (zh) * 2001-03-23 2005-06-08 株式会社东芝 半导体器件及其制造方法
CN102945800A (zh) * 2004-06-08 2013-02-27 桑迪士克公司 纳米结构的后沉积包封:组合物、器件及包含它们的系统
US20050280152A1 (en) * 2004-06-22 2005-12-22 International Business Machines Corporation Interlayer connector for preventing delamination of semiconductor device and methods of forming same
CN101443918A (zh) * 2005-01-13 2009-05-27 国际商业机器公司 作为高K SiO2栅极叠层上的热稳定P型金属碳化物的TiC
CN1835206A (zh) * 2005-02-05 2006-09-20 三星电子株式会社 利用保护性通路盖层形成半导体器件的双镶嵌布线的方法
JP2008205019A (ja) * 2007-02-16 2008-09-04 Toshiba Corp 半導体集積回路およびその製造方法
CN101350344A (zh) * 2007-07-19 2009-01-21 东部高科股份有限公司 半导体器件封装及其制造方法
US20120052676A1 (en) * 2010-08-25 2012-03-01 Jong-Min Lee Methods of Fabricating Semiconductor Memory Devices
CN102969317A (zh) * 2011-08-29 2013-03-13 海力士半导体有限公司 具有镶嵌位线的半导体器件及其制造方法
CN103890939A (zh) * 2011-10-28 2014-06-25 英特尔公司 包括与穿硅过孔组合的细间距单镶嵌后侧金属再分布线的3d互连结构
TW201342529A (zh) * 2011-12-21 2013-10-16 Intel Corp 無電式填充傳導結構
US20130181350A1 (en) * 2012-01-13 2013-07-18 Perry H. Pelley Semiconductor devices with nonconductive vias

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109155279A (zh) * 2016-06-22 2019-01-04 英特尔公司 通路阻断层
CN109155279B (zh) * 2016-06-22 2023-06-16 英特尔公司 通路阻断层
CN109427743A (zh) * 2017-08-30 2019-03-05 台湾积体电路制造股份有限公司 用于鳍式场效应晶体管的互连结构及其形成方法
US10867871B2 (en) 2017-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for fin-like field effect transistor
US11367663B2 (en) 2017-08-30 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for fin-like field effect transistor

Also Published As

Publication number Publication date
TW201635469A (zh) 2016-10-01
EP3238236B1 (en) 2021-12-15
KR20220038540A (ko) 2022-03-28
KR20170099856A (ko) 2017-09-01
US10672650B2 (en) 2020-06-02
KR20230044337A (ko) 2023-04-03
EP3238236A1 (en) 2017-11-01
KR102515198B1 (ko) 2023-03-29
US20170330794A1 (en) 2017-11-16
US20180174893A1 (en) 2018-06-21
EP3955280A1 (en) 2022-02-16
US9899255B2 (en) 2018-02-20
EP3238236A4 (en) 2018-08-15
KR102379370B1 (ko) 2022-03-28
TWI666751B (zh) 2019-07-21
WO2016105402A1 (en) 2016-06-30
CN107004598B (zh) 2021-03-19

Similar Documents

Publication Publication Date Title
CN107004598A (zh) 过孔阻挡层
US10535601B2 (en) Via blocking layer
US11990403B2 (en) Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
US10032643B2 (en) Method and structure to contact tight pitch conductive layers with guided vias using alternating hardmasks and encapsulating etchstop liner scheme
US20220102207A1 (en) Bottom-up fill dielectric materials for semiconductor structure fabrication and their methods of fabrication
TW201707143A (zh) 微電子導電路線及其製造方法
US20190259656A1 (en) Metal via processing schemes with via critical dimension (cd) control for back end of line (beol) interconnects and the resulting structures
TW201705286A (zh) 形成高密度,高短邊距,低電容之互連交替式凹溝的方法及其結構
CN105900227B (zh) 用于形成具有紧密间距的互连结构的互连层的方法
TW201724183A (zh) 用於相減性圖案化的自對準互連、插塞和通孔之紡織圖案化
TW201732883A (zh) 用於後段製程線路(beol)互連之柵格自行對準金屬穿孔處理方法及由其所生成的結構
CN107750389A (zh) 利用不着陆过孔解决方案用于电容利益的陶立克式支柱支撑的无掩模气隙结构
CN106663667A (zh) 用于用多个金属层填充高纵横比的窄结构的技术以及相关联的配置
TW201342528A (zh) 用於鑲嵌式圖案化之avd硬遮罩
CN104011850A (zh) 碳纳米管半导体器件和确定性纳米制造方法
US11894270B2 (en) Grating replication using helmets and topographically-selective deposition
JP2021524996A (ja) 半導体構造の製造のための炭素系誘電体材料および結果として得られる構造

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant