CN106206421A - 自对准接触孔的制备方法 - Google Patents

自对准接触孔的制备方法 Download PDF

Info

Publication number
CN106206421A
CN106206421A CN201610596374.3A CN201610596374A CN106206421A CN 106206421 A CN106206421 A CN 106206421A CN 201610596374 A CN201610596374 A CN 201610596374A CN 106206421 A CN106206421 A CN 106206421A
Authority
CN
China
Prior art keywords
self
aligned contact
contact hole
wafer
polymer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610596374.3A
Other languages
English (en)
Other versions
CN106206421B (zh
Inventor
陈宏�
许昕睿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Huahong Grace Semiconductor Manufacturing Corp
Original Assignee
Shanghai Huahong Grace Semiconductor Manufacturing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Huahong Grace Semiconductor Manufacturing Corp filed Critical Shanghai Huahong Grace Semiconductor Manufacturing Corp
Priority to CN201610596374.3A priority Critical patent/CN106206421B/zh
Publication of CN106206421A publication Critical patent/CN106206421A/zh
Application granted granted Critical
Publication of CN106206421B publication Critical patent/CN106206421B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种自对准接触孔的制备方法,在自对准接触孔工艺的刻蚀、干法去胶以湿法清洗等各个阶段过程中均实施了对聚合物分布情况的监测和控制,并将收集的前一步骤后的聚合物分布情况反馈到后一步骤中的工艺配方中,从而使得后一步骤中具有较高的去除率/刻蚀率、良好的去除均匀性、可控的开口和接触孔侧壁形貌和特征尺寸以及较少的下层膜层的损伤等,大大改善了所述后一步骤后的工艺效果,进而可以保证自对准接触孔刻蚀的均一性,避免晶圆边缘区域的自对准接触孔中的聚合物残留过多,提高器件的电学性能和良率。

Description

自对准接触孔的制备方法
技术领域
本发明涉及半导体制造领域,尤其涉及一种自对准接触孔的制备方法。
背景技术
在半导体技术领域中,随着半导体技术工艺节点的不断缩小,接触孔(CT)的尺寸变得越来越小。通常,对于普通器件而言,当采用28nm以下工艺节点的技术时需要采用自对准接触孔(SAC)技术;而对于闪存(Flash)等存储器件,当采用45nm以下工艺节点的技术时就需要采用自对准接触孔(self-aligned contact,SAC)技术。现有的自对准接触孔工艺通常如下:在前端器件及其栅极结构上沉积覆盖自对准接触阻挡层之后,形成具有自对准接触图案的掩膜层,例如图案化的光刻胶层;然后,以该图案化的光刻胶层为掩膜,使用低氟碳比的氟碳化合物作为刻蚀气体,此类的氟碳化合物例如可以是C2H2F4、C4F8、C4F6、C5F8等,通过蚀刻去除露出的自对准接触阻挡层,形成用于填充构成自对准接触的材料的开口,即自对准接触孔(SAC),低氟碳比的氟碳化合物的氟碳比较低有利于提高刻蚀选择性,能够有效保护栅极侧壁的肩部不受损伤,避免暴露出栅极导电层而引起漏电和短路,同时也能很好地刻蚀高深宽比接触孔的底部。但是,所述SAC蚀刻过程是一种聚合物(polymer)较重的工艺,在所述SAC蚀刻过程中,会在接触孔的侧壁和底部产生高度交联和绝缘的沉积聚合物膜,这些聚合物膜会使加工窗口变小,导致SAC蚀刻停止(etching stop)而引起开路,甚至在经过刻蚀后清洗步骤之后还会部分残留在接触孔底部,尤其是晶圆边缘的接触孔底部,如图1中10所示,因此,导致在接触孔底部的接触电阻Rc明显上升,使得半导体器件的性能不稳定以及加工窗口变小,最终极有可能导致器件失效。
因此,如何监测和控制聚合物成为自对准接触孔工艺的一个很重要的要求。然而,目前监测和控制自对准接触孔工艺中的聚合物的方法主要是在自对准接触孔工形成后,即自对准接触孔工艺完成后,通过损伤性的切片测试(X-SEM)来实现。这种方法很难在自对准接触孔工艺的刻蚀、光刻胶灰化以湿法清洗等各个阶段过程中实现对聚合物的监测和控制,即使能够实现对现有的自对准接触孔工艺各个阶段中的聚合物膜监测和控制,成本也非常高。
发明内容
本发明的目的在于提供一种自对准接触孔的制备方法,能够在自对准接触孔工艺的刻蚀、光刻胶灰化以湿法清洗等各个阶段过程中实现对聚合物的监测和控制,避免晶圆边缘区域的自对准接触孔中的聚合物残留过多,提高器件的电学性能和良率。
为解决上述问题,本发明提出一种自对准接触孔的制备方法,包括:
沉积步骤:在衬底晶圆的表面依次形成自对准接触阻挡层以及具有自对准接触孔图案的光刻胶层;
自对准接触孔刻蚀步骤:以所述光刻胶层为掩膜,使用氟碳比不大于2的氟碳化合物气体对所述自对准接触阻挡层进行刻蚀,直至暴露出所述衬底晶圆的表面,以形成贯穿所述自对准接触阻挡层的自对准接触孔,并监测所述自对准接触孔中的聚合物分布;
干法去胶步骤:根据所述自对准接触孔刻蚀步骤中监测的聚合物分布结果,调整干法去胶工艺参数,去除所述光刻胶层,并再次监测所述自对准接触孔中的聚合物分布;
湿法清洗步骤:根据所述干法去胶步骤中监测的聚合物分布结果,调整湿法清洗胶工艺参数,对所述衬底晶圆进行清洗,并再次监测所述自对准接触孔中的聚合物分布以用于调整后续晶圆的自对准接触孔制备过程中的工艺参数。
进一步的,所述自对准接触阻挡层为掺杂的氧化硅玻璃层单层结构,或者包括依次形成在所述衬底晶圆表面的掺杂的氧化硅玻璃层和保护氧化层双层结构。
进一步的,所述掺杂的氧化硅玻璃层为掺杂磷或硼的氧化硅玻璃层(PSG或BPSG)。
进一步的,所述保护氧化层为TEOS(正硅酸乙酯)。
进一步的,采用等离子增强化学气相沉积方式形成所述自对准接触阻挡层。
进一步的,所述氟碳化合物气体为C2H2F4、C4F8、C4F6、C5F8中的至少一种。
进一步的,采用KLA-TENCOR F5X机台监测所述自对准接触孔刻蚀步骤、干法去胶步骤以及湿法清洗步骤中的聚合物分布情况。
进一步的,所述衬底晶圆为形成有前端器件的产品晶圆或者用于监测产品晶圆的自对准接触孔工艺的纯硅晶圆。
进一步的,所述产品晶圆包括晶圆基底、形成在晶圆基底表面的栅极以及围绕在所述栅极侧壁的栅极侧墙、形成在所述栅极两侧的晶圆基底中源区和漏区。
进一步的,所述自对准接触孔的制备方法中,所述自对准接触孔的制备方法中,先对所述纯硅晶圆依次进行所述沉积步骤和自对准接触孔刻蚀步骤,并收集所述纯硅晶圆的自对准接触孔刻蚀步骤中的聚合物分布情况,然后根据收集的所述纯硅晶圆的自对准接触孔刻蚀步骤中的聚合物分布情况,确定所述产品晶圆的自对准接触孔刻蚀步骤的工艺参数初始值。
进一步的,根据收集的所述纯硅晶圆的自对准接触孔刻蚀步骤中的聚合物分布情况以及已完成的自对准接触孔的制备的产品晶圆的湿法清洗步骤中的聚合物分布情况,调整当前产品晶圆的自对准接触孔刻蚀步骤中的工艺参数,包括刻蚀时间、射频功率、产品晶圆的基座温度、刻蚀腔压力、刻蚀腔侧壁温度、刻蚀气体的氟碳比及流量。
进一步的,当所述自对准接触阻挡层为包括依次形成在所述衬底晶圆表面的掺杂的氧化硅玻璃层和保护氧化层的双层结构时,在所述沉积步骤之后,首先,进行自对准接触孔初始刻蚀步骤:以所述光刻胶层为掩膜,刻蚀所述保护氧化层至所述掺杂的氧化硅玻璃层表面,并监测所述保护氧化层开口中的聚合物分布;然后,进行干法去胶步骤:根据所述保护氧化层开口中的聚合物分布结果,调整干法去胶工艺参数,去除所述光刻胶层,并再次监测所述自对准接触孔中的聚合物分布;接着,进行自对准接触孔刻蚀步骤:以所述保护氧化层为掩膜,根据所述干法去胶步骤中监测的聚合物分布结果,使用氟碳比不大于2的氟碳化合物气体,调整对所述掺杂的氧化硅玻璃层的刻蚀工艺参数,来对所述掺杂的氧化硅玻璃层进行刻蚀,直至暴露出所述衬底晶圆的表面,以形成自对准接触孔,并监测所述自对准接触孔中的聚合物分布;最后进行湿法清洗步骤:根据所述自对准接触孔刻蚀步骤中监测的聚合物分布结果,调整湿法清洗胶工艺参数,对所述衬底晶圆进行清洗,并再次监测所述自对准接触孔中的聚合物分布,以用于调整后续晶圆的自对准接触孔初始刻蚀步骤中的工艺参数。
与现有技术相比,本发明的技术方法具有以下有益效果:
本发明的技术方法在自对准接触孔工艺的刻蚀、干法去胶以湿法清洗等各个阶段过程中均实施了对聚合物分布情况的监测和控制,并将收集的前一步骤后的聚合物分布情况反馈到后一步骤中的工艺配方中,从而使得后一步骤中具有较高的去除率/刻蚀率、良好的去除均匀性、可控的开口和接触孔侧壁形貌和特征尺寸以及较少的下层膜层的损伤等,大大改善了所述后一步骤后的工艺效果,进而可以保证自对准接触孔刻蚀的均一性,避免衬底晶圆边缘区域的自对准接触孔中的聚合物残留过多,提高器件的电学性能和良率。
附图说明
图1是现有的一种失效产品晶圆边缘的自对准接触孔结构的SEM图;
图2是本发明实施例一的自对准接触孔的制备方法的流程图;
图3A至3C是本发明实施例一的自对准接触孔的制备方法中的器件剖面结构示意图;
图4是本发明实施例二的自对准接触孔的制备方法的流程图;
图5A至5D是本发明实施例二的自对准接触孔的制备方法中的器件剖面结构示意图。
具体实施方式
为使本发明的目的、特征更明显易懂,下面结合附图对本发明的具体实施方式作进一步的说明,然而,本发明可以用不同的形式实现,不应只是局限在所述的实施例。
实施例一
请参考图2,本实施例提供一种自对准接触孔的制备方法,包括:
S21,沉积阶段:在形成有前端器件的产品晶圆和用于监测产品晶圆的自对准接触孔工艺的纯硅晶圆(bare silicon wafer)的表面依次形成自对准接触阻挡层以及具有自对准接触孔图案的光刻胶层;
S22,在所述纯硅晶圆上制备自对准接触孔的阶段:以所述纯硅晶圆上的光刻胶层为掩膜,使用氟碳比不大于2的氟碳化合物气体对所述纯硅晶圆的自对准接触阻挡层进行刻蚀,直至暴露出所述纯硅晶圆的表面,以形成贯穿所述自对准接触阻挡层的自对准接触孔,并监测所述自对准接触孔中的聚合物分布;
S23,在所述产品晶圆上制备自对准接触孔的阶段,包括:
S231,自对准接触孔刻蚀步骤:以所述产品晶圆的光刻胶层为掩膜,根据所述纯硅晶圆上制备自对准接触孔时监测的聚合物分布情况,确定对所述产品晶圆的自对准接触阻挡层进行刻蚀的工艺参数,并使用所述工艺参数对所述自对准接触阻挡层进行刻蚀,直至暴露出所述产品晶圆的表面,以形成贯穿所述自对准接触阻挡层的自对准接触孔,并监测所述自对准接触孔中的聚合物分布;
S232,干法去胶步骤:根据所述自对准接触孔刻蚀步骤中监测的聚合物分布结果,调整干法去胶工艺参数,去除所述光刻胶层,并再次监测所述自对准接触孔中的聚合物分布;
S233,湿法清洗步骤:根据所述干法去胶步骤中监测的聚合物分布结果,调整湿法清洗胶工艺参数,对所述产品晶圆进行清洗,并再次监测所述自对准接触孔中的聚合物分布以用于调整后续进行自对准接触孔制备的产品晶圆的自对准接触孔刻蚀步骤中的工艺参数。
请参考图3A,在步骤S21中,提供两种晶圆:一种是已形成前端器件的产品晶圆,包括晶圆基底300、位于晶圆基底300上的栅介质层302、栅极303以及栅极侧墙304以及位于栅极303两侧的衬底晶圆300中的源漏区301;另一种是用于监测产品晶圆的自对准接触孔工艺的纯硅晶圆,该晶圆表面为纯硅表面,后续对其进行自对准接触工艺,并收集其工艺产生的聚合物厚度和分布情况,可以近似地表征出产品晶圆的自对准接触工艺中的聚合物厚度和分布情况。其中,所述产品晶圆的晶圆基底300可以为硅衬底(例如硅晶片),可以包括各种掺杂配置,例如锗。所述栅介质层302可以为氧化硅,或氮化硅及其组合,或高K介质,例如HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、HfLaO、HfLaSiO、Al2O3、La2O3、ZrO2、LaAlO中的一种或其组合,或包括高K介质与氧化硅或氮化硅的组合结构。所述栅极303可以是金属栅极,例如通过沉积金属氮化物,包括MxNy、MxSiyNz、MxAlyNz、MaAlxSiyNz及其组合,其中M为Ta、Ti、Hf、Zr、Mo、W及其组合;和/或金属或金属合金,包括Co、Ni、Cu、Al、Pd、Pt、Ru、Re、Mo、Ta、Ti、Hf、Zr、W、Ir、Eu、Nd、Er、La及其组合。所述栅极210还可以是金属硅化物,例如NiSi、CoSi、TiSi等。优选的,在产品晶圆的表面上均匀覆盖一层保护层305,所述保护层305完全覆盖栅介质层302、栅极303以及栅极侧墙304形成的栅堆叠和源漏区301,其材料可以选用氮化硅、氧化硅、氮氧化硅、碳化硅及其组合,和/或其他合适的材料。
请继续参考图3A,在步骤S21中,首先,在产品晶圆的保护层305表面以及纯硅晶圆的表面上形成一层经过平坦化处理的自对准接触阻挡层306,该自对准接触阻挡层306的材料可以是不同于保护层305的SiO2、SiOF、SiCOH、SiO、SiCO、SiCON、SiON、磷硅玻璃PSG、硼磷硅玻璃BPSG等。本实施例中为磷硅玻璃PSG或硼磷硅玻璃BPSG,自对准接触阻挡层306的形成工艺优选为PECVD(等离子体增强化学气相沉积)工艺。然后在产品晶圆和纯硅晶圆的自对准接触阻挡层306表面上通过涂覆、曝光、显影等光刻工艺形成具有自对准接触孔图案的光刻胶层307,本实施例中所述光刻胶层307中的自对准接触孔图案定义了对准源漏区301表面的接触孔位置。
优选地,在步骤S21中,收集在产品晶圆的保护层305表面以及纯硅晶圆的表面上沉积的自对准接触阻挡层306的特性,以用于后续批次的产品晶圆的自对准接触阻挡层306的沉积,例如收集磷硅玻璃PSG或硼磷硅玻璃BPSG材质的自对准接触阻挡层306的沉积/溅射比率(D/R ratio)、硼磷含量以及按照该比率成型的自对准接触阻挡层306的特性,并将该收集数据从反馈到后续批次的产品晶圆的自对准接触阻挡层306的形成工艺配方中,即调整后续批次产品晶圆自对准接触阻挡层沉积时的沉积/溅射比率、硼磷含量等,以改善后续批次的产品晶圆自对准接触阻挡层306的性质,以提高最终器件的良率。
请参考图3A和3B,在步骤S22中,先对纯硅晶圆进行自对准接触孔制备,并收集该过程中的聚合物厚度和分布情况,以用于确定对产品晶圆进行自对准接触孔制备的工艺参数。具体地,首先,以纯硅晶圆表面上方的光刻胶层为掩膜,对纯硅晶圆表面的自对准接触孔阻挡层进行垂直方向地刻蚀,至纯硅晶圆表面停止,以在纯硅晶圆表面的自对准接触孔阻挡层中形成接触孔,在本实施例中选用的刻蚀方法为干法等离子刻蚀,刻蚀气体为C2H2F4、C4F8、C4F6、C5F8等氟碳化合物气体中的至少一种,在其它实施例中也可选用其它合适的各向异性的干法刻蚀或湿法刻蚀工艺;然后,收集对纯硅晶圆进行自对准接触孔制备过程中的聚合物厚度及分布情况。为了更好地掌握自对准接触孔制备过程中的聚合物厚度及分布规律,本步骤中可以对多片纯硅晶圆进行自对准接触孔制备,即进行多轮纯硅晶圆的自对准接触孔制备,每轮中的选片条件一致,例如每轮操作都将8片相同特征的纯硅晶圆放置到晶片容量相同的晶圆晶舟中的8个相同位置,8片纯硅晶圆中间的晶舟间隔位置通过放置空挡片填充,进行不同轮操作时,改变其自对准接触孔刻蚀的某个工艺参数,来收集和确定该工艺参数对聚合物厚度及分布规律的影响,从而可以得出各个的工艺参数对自对准接触阻挡层进行自对准接触孔刻蚀的聚合物厚度及分布规律的影响。本步骤中可以采用KLA-TENCOR F5X机台测量纯硅晶圆表面及其自对准接触孔中不同位置的聚合物厚度,从而获得聚合物分布规律。
接下来,将步骤S22中获得的聚合物厚度及分布规律反馈到产品晶圆的自对准接触孔制备过程中,即执行步骤S23:根据步骤S22获得的聚合物厚度及分布规律在产品晶圆上制备自对准接触孔。具体如下:
请参考图3B,执行步骤S231:对产品晶圆进行自对准接触孔刻蚀。在步骤S231中,首先,根据利用步骤S22中进行纯硅晶圆制备自对准接触孔而获得的聚合物厚度及分布规律,确定对产品晶圆的自对准接触阻挡层306进行刻蚀的工艺配方,包括刻蚀时间、射频功率、产品晶圆的基座温度(即承载产品晶圆的静电吸附盘的温度)、刻蚀腔压力、刻蚀腔侧壁温度、氟碳比不大于2的氟碳化合物气体的氟碳比及流量;然后,以产品晶圆的光刻胶层307为掩膜,按照所述工艺配方对产品晶圆的自对准接触阻挡层306进行刻蚀,刻蚀停止在产品晶圆的晶圆基底300的源漏区301表面,至此,就在产品晶圆中形成了对准其源漏区301的自对准接触孔308;接着,采用KLA-TENCOR F5X机台继续收集该产品晶圆的自对准接触孔刻蚀后的聚合物厚度及其分布情况,特别是形成的自对准接触孔中的聚合物厚度及其分布情况。
请参考图3C,执行步骤S232:干法去胶。即根据步骤S231中收集的自对准接触孔刻蚀后的聚合物厚度及其分布情况,确定干法去胶的工艺配方,包括:气体配比(例如氧气与氮气的配比,或者氧气与氮气和氢气的配比)与流量、去胶时间、去胶温度等;然后根据该工艺配方进行干法去胶,以去除产品晶圆的光刻胶层;接着,采用KLA-TENCOR F5X机台继续收集该产品晶圆的干法去胶后的聚合物厚度及其分布情况,特别是自对准接触孔中的聚合物厚度及其分布情况。
请继续参考图3C,执行步骤S233:湿法清洗,目的是去除光刻胶残留以及自对准接触孔中的聚合物残留。即根据步骤S232中收集的干法去胶后的聚合物厚度及其分布情况,确定湿法清洗的工艺配方,包括:清洗液及其配比(例如硫酸与双氧水混合溶液及其硫酸与双氧水配比)、去胶时间、去胶温度等;然后根据该工艺配方进行湿法清洗,以去除光刻胶残留以及自对准接触孔中的聚合物残留;接着,采用KLA-TENCOR F5X机台继续收集该产品晶圆的湿法清洗后的聚合物厚度及其分布情况,特别是自对准接触孔中的聚合物厚度及其分布情况,该数据可反馈到后续批次的产品晶圆的自对准接触孔的制备过程,例如可以根据步骤S233中收集湿法清洗后的聚合物厚度及其分布情况,调整根据步骤S22获得的聚合物厚度及分布规律而确定对产品晶圆的自对准接触阻挡层306进行刻蚀的工艺配方,从而获得后续批次的产品晶圆的自对准接触阻挡层306刻蚀的新的工艺配方。
之后,在湿法清洗后的产品晶圆的自对准接触孔308中填充Ti、Co、Ni、W等金属并进行退火,形成自对准接触孔导电结构。
由上所述,本实施例的自对准接触孔的制备方法,先利用纯硅晶圆进行自对准接触孔刻蚀,来获得自对准接触孔刻蚀过程中的聚合物分布情况,从而可以确定出较佳的用于产品晶圆的自对准接触孔刻蚀步骤的工艺配方,进而可以保证产品晶圆的自对准接触孔刻蚀的均一性,避免产品晶圆边缘区域的自对准接触孔中的聚合物残留过多,提高器件的电学性能和良率;进一步地,收集了产品晶圆的自对准接触孔刻蚀后的聚合物分布情况并反馈到该产品晶圆的干法去胶的工艺配方中,收集了产品晶圆的干法去胶后的聚合物分布情况并反馈到该产品晶圆的湿法清洗的工艺配方中,从而保证干法去胶以及湿法清洗的效果,避免自对准接触孔中聚合物残留的情况,最终大大提高了器件的电学性能和良率。
实施例二
请参考图4,本实施例提供一种自对准接触孔的制备方法,包括:
S41,沉积步骤:在衬底晶圆的表面依次形成掺杂的氧化硅玻璃层、保护氧化层以及具有自对准接触孔图案的光刻胶层;
S42,自对准接触孔初始刻蚀步骤:以所述光刻胶层为掩膜,刻蚀所述保护氧化层至所述掺杂的氧化硅玻璃层表面,以形成贯穿所述保护氧化层的开口,并监测所述保护氧化层开口中的聚合物分布;
S43,干法去胶步骤:根据所述保护氧化层开口中的聚合物分布结果,调整干法去胶工艺参数,去除所述光刻胶层,并再次监测所述自对准接触孔中的聚合物分布;
S44,自对准接触孔刻蚀步骤:以所述保护氧化层为掩膜,根据所述干法去胶步骤中监测的聚合物分布结果,使用氟碳比不大于2的氟碳化合物气体,调整对所述掺杂的氧化硅玻璃层的刻蚀工艺参数,来对所述掺杂的氧化硅玻璃层进行刻蚀,直至暴露出所述衬底晶圆的表面,以形成自对准接触孔,并监测所述自对准接触孔中的聚合物分布;
S45,湿法清洗步骤:根据所述自对准接触孔刻蚀步骤中监测的聚合物分布结果,调整湿法清洗胶工艺参数,对所述衬底晶圆进行清洗,并再次监测所述自对准接触孔中的聚合物分布以用于调整后续晶圆的自对准接触孔制备过程中的工艺参数。
请参考图5A,在步骤S41中,提供的衬底晶圆为已形成前端器件的产品晶圆,包括晶圆基底500、位于晶圆基底500上的栅介质层502、栅极503以及栅极侧墙504以及位于栅极503两侧的衬底晶圆500中的源漏区501。优选的,在产品晶圆的表面上均匀覆盖一层保护层505,所述保护层505完全覆盖栅介质层502、栅极503以及栅极侧墙504形成的栅堆叠和源漏区501。本实施例中,在衬底晶圆的保护层505表面上形成一层经过平坦化处理的掺杂的氧化硅玻璃层506、保护氧化层507以及具有自对准接触孔图案的光刻胶层508。掺杂的氧化硅玻璃层506、保护氧化层507构成双层结构的自对准接触阻挡层,掺杂的氧化硅玻璃层506为磷硅玻璃PSG或硼磷硅玻璃BPSG等,采用PECVD(等离子体增强化学气相沉积)工艺形成,保护氧化层507为PETEOS(等离子体增强正硅酸四乙酯),即一种采用等离子体增强化学汽相沉积法淀积的氧化硅膜。然后保护氧化层507表面上通过涂覆、曝光、显影等光刻工艺形成具有自对准接触孔图案的光刻胶层508,本实施例中所述光刻胶层508中的自对准接触孔图案定义了对准源漏区501表面的接触孔位置。
优选地,在步骤S41中,收集在保护层505表面上沉积的掺杂的氧化硅玻璃层506、保护氧化层507的特性,以用于后续批次的衬底晶圆的掺杂的氧化硅玻璃层506、保护氧化层507的沉积,例如收集磷硅玻璃PSG或硼磷硅玻璃BPSG材质的掺杂的氧化硅玻璃层506的沉积/溅射比率(D/R ratio)、硼磷含量以及按照该比率成型的掺杂的氧化硅玻璃层506的特性,并将该收集数据从反馈到后续批次的衬底晶圆的掺杂的氧化硅玻璃层506的形成工艺配方中,即调整后续批衬底晶圆的掺杂的氧化硅玻璃层506沉积时的沉积/溅射比率、硼磷含量等,以改善后续批次的衬底晶圆的掺杂的氧化硅玻璃层506的性质,以提高最终器件的良率。
请继续参考图5B,在步骤S42中,首先,以图形化光刻胶层508为掩膜,对保护氧化层507进行刻蚀,以打开保护氧化层507,具体地,可以采用合适的CF4/CHF3气体配比、较高的射频功率以及较低的刻蚀腔温度和压力来控制保护氧化层507的开口的形貌以及开口中聚合物的积聚;然后采用KLA-TENCOR F5X机台测量保护氧化层507开口中不同位置的聚合物厚度,从而获得聚合物分布规律。
请参考图5C,在步骤S43中,根据步骤S42中收集的自对准接触孔初刻蚀后的聚合物厚度及其分布情况,即收集的保护氧化层507开口中的聚合物分布规律,确定干法去胶的灰化、清洗、烘干等各个阶段的工艺配方,例如确定的灰化阶段的工艺配方包括:气体配比(例如氧气与氮气的配比,或者氧气与氮气和氢气的配比)与流量、去胶时间、去胶温度等;然后根据该工艺配方进行干法去胶,以去除衬底晶圆的光刻胶层以及保护氧化层507开口中残留的大部分聚合物;接着,采用KLA-TENCOR F5X机台继续收集该衬底晶圆的干法去胶后的聚合物厚度及其分布情况,特别是保护氧化层507开口的聚合物厚度及其分布情况。
请参考图5D,在步骤S44中,首先,根据利用步骤S43中获得的干法去胶后的聚合物厚度及分布规律,确定对掺杂的氧化硅玻璃层506的进行接触孔刻蚀的工艺配方,包括刻蚀时间、射频功率、产品晶圆的基座温度(即承载产品晶圆的静电吸附盘的温度)、刻蚀腔压力、刻蚀腔侧壁温度、氟碳比不大于2的氟碳化合物气体的氟碳比及流量;然后,以保护氧化层507为掩膜,按照所述工艺配方对掺杂的氧化硅玻璃层506进行刻蚀,刻蚀停止在晶圆基底500的源漏区301表面,至此,就在衬底晶圆中形成了对准其源漏区501的自对准接触孔509,由于采用了合适的刻蚀工艺配方,对掺杂的氧化硅玻璃层506进行刻蚀时,一方面能够保证掺杂的氧化硅玻璃层506能够刻蚀到位,避免对源漏区501的Si的过刻蚀损耗,另一方面还能够保证栅极侧墙504的损耗较少,避免后续形成的导电的自对准接触孔结构与栅极503之间产生短路和漏电流;接着,采用KLA-TENCOR F5X机台继续收集该衬底晶圆的自对准接触孔刻蚀后的聚合物厚度及其分布情况,特别是形成的自对准接触孔中的聚合物厚度及其分布情况。
请参考图5D,执行步骤S45:湿法清洗,目的是尽可能地完全去除自对准接触孔中的聚合物残留。即根据步骤S44中收集的自对准接触孔中的聚合物厚度及其分布情况,确定湿法清洗的工艺配方,包括:清洗液及其配比(例如硫酸与双氧水混合溶液及其硫酸与双氧水配比)、去胶时间、去胶温度等;然后根据该工艺配方进行湿法清洗,以去除光刻胶残留以及自对准接触孔中的聚合物残留;接着,采用KLA-TENCOR F5X机台继续收集该衬底晶圆的湿法清洗后的聚合物厚度及其分布情况,特别是自对准接触孔中的聚合物厚度及其分布情况,该数据可反馈到后续批次的衬底晶圆的自对准接触孔的制备过程,例如可以根据步骤S45中收集湿法清洗后的聚合物厚度及其分布情况,调整根据步骤S43获得的聚合物厚度及分布规律而确定对衬底晶圆的掺杂的氧化硅玻璃层506进行接触孔刻蚀的工艺配方,从而获得后续批次的衬底晶圆的掺杂的氧化硅玻璃层506刻蚀的新的工艺配方。
之后,在湿法清洗后的衬底晶圆的自对准接触孔509中填充Ti、Co、Ni、W等金属并进行退火,形成自对准接触孔导电结构。
由上所述,本实施例的自对准接触孔的制备方法,将收集的前一步骤后的聚合物分布情况反馈到后一步骤中的工艺配方中,从而使得后一步骤中具有较高的去除率(或刻蚀率)、良好的去除均匀性、可控的开口和接触孔侧壁形貌和特征尺寸以及较少的下层膜层的损伤等,大大改善了所述后一步骤后的工艺效果,进而可以保证自对准接触孔刻蚀的均一性,避免衬底晶圆边缘区域的自对准接触孔中的聚合物残留过多,提高器件的电学性能和良率。
在本发明的其他实施例中,可以将实施例一中先利用纯硅晶圆进行自对准接触孔刻蚀来获得产品晶圆自对准接触孔刻蚀工艺参数初始值的方法应用到实施例二中,具体地:先对所述纯硅晶圆依次进行所述沉积步骤、自对准接触孔初始刻蚀步骤、干法去胶步骤、自对准接触孔刻蚀步骤以及湿法清洗步骤,并收集所述纯硅晶圆自对准接触孔制备工艺的各个步骤中的聚合物分布情况,然后根据收集的所述纯硅晶圆自对准接触孔制备工艺的各个步骤中的聚合物分布情况,确定所述产品晶圆自对准接触孔制备工艺的相对应步骤的工艺参数初始值。具体步骤的具体操作可以参考实施一和实施例二,在此不再详述。
显然,本领域的技术人员可以对发明进行各种改动和变型而不脱离本发明的精神和范围。这样,倘若本发明的这些修改和变型属于本发明权利要求及其等同技术的范围之内,则本发明也意图包含这些改动和变型在内。

Claims (12)

1.一种自对准接触孔的制备方法,其特征在于,包括:
沉积步骤:在衬底晶圆的表面依次形成自对准接触阻挡层以及具有自对准接触孔图案的光刻胶层;
自对准接触孔刻蚀步骤:以所述光刻胶层为掩膜,使用氟碳比不大于2的氟碳化合物气体对所述自对准接触阻挡层进行刻蚀,直至暴露出所述衬底晶圆的表面,以形成贯穿所述自对准接触阻挡层的自对准接触孔,并监测所述自对准接触孔中的聚合物分布;
干法去胶步骤:根据所述自对准接触孔刻蚀步骤中监测的聚合物分布结果,调整干法去胶工艺参数,去除所述光刻胶层,并再次监测所述自对准接触孔中的聚合物分布;
湿法清洗步骤:根据所述干法去胶步骤中监测的聚合物分布结果,调整湿法清洗胶工艺参数,对所述衬底晶圆进行清洗,并再次监测所述自对准接触孔中的聚合物分布以用于调整后续晶圆的自对准接触孔制备过程中的工艺参数。
2.如权利要求1所述的自对准接触孔的制备方法,其特征在于,所述自对准接触阻挡层为掺杂的氧化硅玻璃层的单层结构,或者为包括依次形成在所述衬底晶圆表面的掺杂的氧化硅玻璃层和保护氧化层的双层结构。
3.如权利要求2所述的自对准接触孔的制备方法,其特征在于,所述掺杂的氧化硅玻璃层为掺杂磷或硼的氧化硅玻璃层。
4.如权利要求1所述的自对准接触孔的制备方法,其特征在于,所述保护氧化层为TEOS。
5.如权利要求1所述的自对准接触孔的制备方法,其特征在于,采用等离子增强化学气相沉积方式形成所述自对准接触阻挡层。
6.如权利要求1所述的自对准接触孔的制备方法,其特征在于,所述氟碳化合物气体为C2H2F4、C4F8、C4F6、C5F8中的至少一种。
7.如权利要求1所述的自对准接触孔的制备方法,其特征在于,采用KLA-TENCOR F5X机台监测所述自对准接触孔刻蚀步骤、干法去胶步骤以及湿法清洗步骤中的聚合物分布情况。
8.如权利要求1所述的自对准接触孔的制备方法,其特征在于,所述衬底晶圆为形成有前端器件的产品晶圆或者用于监测产品晶圆的自对准接触孔工艺的纯硅晶圆。
9.如权利要求8所述的自对准接触孔的制备方法,其特征在于,所述产品晶圆包括晶圆基底、形成在晶圆基底表面的栅极以及围绕在所述栅极侧壁的栅极侧墙、形成在所述栅极两侧的晶圆基底中源区和漏区。
10.如权利要求9所述的自对准接触孔的制备方法,其特征在于,所述自对准接触孔的制备方法中,先对所述纯硅晶圆依次进行所述沉积步骤和自对准接触孔刻蚀步骤,并收集所述纯硅晶圆的自对准接触孔刻蚀步骤中的聚合物分布情况,然后根据收集的所述纯硅晶圆的自对准接触孔刻蚀步骤中的聚合物分布情况,确定所述产品晶圆的自对准接触孔刻蚀步骤的工艺参数初始值。
11.如权利要求10所述的自对准接触孔的制备方法,其特征在于,根据收集的所述纯硅晶圆的自对准接触孔刻蚀步骤中的聚合物分布情况以及已完成的自对准接触孔的制备的产品晶圆的湿法清洗步骤中的聚合物分布情况,调整当前产品晶圆的自对准接触孔刻蚀步骤中的工艺参数,包括刻蚀时间、射频功率、产品晶圆的基座温度、刻蚀腔压力、刻蚀腔侧壁温度、刻蚀气体的氟碳比及流量。
12.如权利要求2所述的自对准接触孔的制备方法,其特征在于,当所述自对准接触阻挡层为包括依次形成在所述衬底晶圆表面的掺杂的氧化硅玻璃层和保护氧化层的双层结构时,在所述沉积步骤之后,首先,进行自对准接触孔初始刻蚀步骤:以所述光刻胶层为掩膜,刻蚀所述保护氧化层至所述掺杂的氧化硅玻璃层表面,并监测所述保护氧化层开口中的聚合物分布;然后,进行干法去胶步骤:根据所述保护氧化层开口中的聚合物分布结果,调整干法去胶工艺参数,去除所述光刻胶层,并再次监测所述自对准接触孔中的聚合物分布;接着,进行自对准接触孔刻蚀步骤:以所述保护氧化层为掩膜,根据所述干法去胶步骤中监测的聚合物分布结果,使用氟碳比不大于2的氟碳化合物气体,调整对所述掺杂的氧化硅玻璃层的刻蚀工艺参数,来对所述掺杂的氧化硅玻璃层进行刻蚀,直至暴露出所述衬底晶圆的表面,以形成贯穿所述自对准接触阻挡层的自对准接触孔,并监测所述自对准接触孔中的聚合物分布;最后进行湿法清洗步骤:根据所述自对准接触孔刻蚀步骤中监测的聚合物分布结果,调整湿法清洗胶工艺参数,对所述衬底晶圆进行清洗,并再次监测所述自对准接触孔中的聚合物分布,以用于调整后续晶圆的自对准接触孔初始刻蚀步骤中的工艺参数。
CN201610596374.3A 2016-07-27 2016-07-27 自对准接触孔的制备方法 Active CN106206421B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610596374.3A CN106206421B (zh) 2016-07-27 2016-07-27 自对准接触孔的制备方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610596374.3A CN106206421B (zh) 2016-07-27 2016-07-27 自对准接触孔的制备方法

Publications (2)

Publication Number Publication Date
CN106206421A true CN106206421A (zh) 2016-12-07
CN106206421B CN106206421B (zh) 2019-06-28

Family

ID=57495114

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610596374.3A Active CN106206421B (zh) 2016-07-27 2016-07-27 自对准接触孔的制备方法

Country Status (1)

Country Link
CN (1) CN106206421B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107367910A (zh) * 2017-08-28 2017-11-21 睿力集成电路有限公司 光刻胶图形化方法、半导体结构的制备方法及半导体设备
CN108630527A (zh) * 2018-06-20 2018-10-09 矽力杰半导体技术(杭州)有限公司 一种接触孔的清洗方法
WO2021254136A1 (zh) * 2020-06-16 2021-12-23 长鑫存储技术有限公司 半导体设备的调试方法及半导体器件的制备方法
CN115148666A (zh) * 2022-09-02 2022-10-04 合肥晶合集成电路股份有限公司 半导体器件的制备方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002164331A (ja) * 2001-11-09 2002-06-07 Oki Electric Ind Co Ltd コンタクトホール内における重合膜の分析方法
US20030089382A1 (en) * 1998-12-07 2003-05-15 Taiwan Semiconductor Manufacturing Company Novel post etching treatment process for high density oxide etcher
CN101106100A (zh) * 2006-07-10 2008-01-16 中芯国际集成电路制造(上海)有限公司 高深宽比自对准接触刻蚀中减低接触电阻的方法
CN101436530A (zh) * 2008-12-12 2009-05-20 上海宏力半导体制造有限公司 利用光学发射光谱特性对刻蚀过程进行监测的方法
CN103137463A (zh) * 2011-11-30 2013-06-05 上海华虹Nec电子有限公司 深沟槽刻蚀工艺针刺状缺陷的解决方法
US20140034609A1 (en) * 2010-09-15 2014-02-06 Lam Research Corporation Methods for Controlling Plasma Constituent Flux and Deposition During Semiconductor Fabrication and Apparatus for Implementing the Same
CN104157606A (zh) * 2014-08-26 2014-11-19 上海华虹宏力半导体制造有限公司 互连结构的形成方法
CN104882358A (zh) * 2014-02-28 2015-09-02 无锡华润上华科技有限公司 一种侦测蚀刻腔体内聚合物的方法
CN105470162A (zh) * 2016-01-08 2016-04-06 武汉新芯集成电路制造有限公司 一种侦测接触孔缺陷的方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030089382A1 (en) * 1998-12-07 2003-05-15 Taiwan Semiconductor Manufacturing Company Novel post etching treatment process for high density oxide etcher
JP2002164331A (ja) * 2001-11-09 2002-06-07 Oki Electric Ind Co Ltd コンタクトホール内における重合膜の分析方法
CN101106100A (zh) * 2006-07-10 2008-01-16 中芯国际集成电路制造(上海)有限公司 高深宽比自对准接触刻蚀中减低接触电阻的方法
CN101436530A (zh) * 2008-12-12 2009-05-20 上海宏力半导体制造有限公司 利用光学发射光谱特性对刻蚀过程进行监测的方法
US20140034609A1 (en) * 2010-09-15 2014-02-06 Lam Research Corporation Methods for Controlling Plasma Constituent Flux and Deposition During Semiconductor Fabrication and Apparatus for Implementing the Same
CN103137463A (zh) * 2011-11-30 2013-06-05 上海华虹Nec电子有限公司 深沟槽刻蚀工艺针刺状缺陷的解决方法
CN104882358A (zh) * 2014-02-28 2015-09-02 无锡华润上华科技有限公司 一种侦测蚀刻腔体内聚合物的方法
CN104157606A (zh) * 2014-08-26 2014-11-19 上海华虹宏力半导体制造有限公司 互连结构的形成方法
CN105470162A (zh) * 2016-01-08 2016-04-06 武汉新芯集成电路制造有限公司 一种侦测接触孔缺陷的方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107367910A (zh) * 2017-08-28 2017-11-21 睿力集成电路有限公司 光刻胶图形化方法、半导体结构的制备方法及半导体设备
CN108630527A (zh) * 2018-06-20 2018-10-09 矽力杰半导体技术(杭州)有限公司 一种接触孔的清洗方法
WO2021254136A1 (zh) * 2020-06-16 2021-12-23 长鑫存储技术有限公司 半导体设备的调试方法及半导体器件的制备方法
CN115148666A (zh) * 2022-09-02 2022-10-04 合肥晶合集成电路股份有限公司 半导体器件的制备方法

Also Published As

Publication number Publication date
CN106206421B (zh) 2019-06-28

Similar Documents

Publication Publication Date Title
US10685873B2 (en) Etch stop layer for semiconductor devices
CN110648919B (zh) 带有凹口的栅极结构制造
US9431304B2 (en) Method and structure for metal gates
KR101882049B1 (ko) 직사각형 프로파일을 갖는 스페이서 및 그 형성 방법
US20230386937A1 (en) Replacement gate process for semiconductor devices
KR102066251B1 (ko) 도전성 피처 형성 및 구조물
US7759239B1 (en) Method of reducing a critical dimension of a semiconductor device
US11923201B2 (en) Self-protective layer formed on high-K dielectric layer
CN110323180B (zh) 半导体装置及其形成方法
CN106206421B (zh) 自对准接触孔的制备方法
US11114347B2 (en) Self-protective layer formed on high-k dielectric layers with different materials
CN107026194A (zh) 半导体装置与形成半导体装置的方法
US11062945B2 (en) Methods for reducing contact depth variation in semiconductor fabrication
CN104752185B (zh) 金属栅极的形成方法
CN108321090B (zh) 半导体器件及其形成方法
US11062957B2 (en) FinFET device with wrapped-around epitaxial structure and manufacturing method thereof
CN104616980B (zh) 金属栅极的形成方法
CN101656208B (zh) 一种选择性去除TaN金属栅电极层的方法
CN104979281A (zh) 一种接触孔形成方法
CN106486365B (zh) 半导体器件的形成方法
CN105870050A (zh) 半导体器件的形成方法
KR102610396B1 (ko) 로우-k 스페이서를 제공하는 방법
CN105742183B (zh) 半导体结构的形成方法
US9646884B2 (en) Block level patterning process
CN105742182B (zh) 半导体结构的形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant