CN105992975A - 用于计算任意图案的随机变化的模型 - Google Patents

用于计算任意图案的随机变化的模型 Download PDF

Info

Publication number
CN105992975A
CN105992975A CN201580007982.XA CN201580007982A CN105992975A CN 105992975 A CN105992975 A CN 105992975A CN 201580007982 A CN201580007982 A CN 201580007982A CN 105992975 A CN105992975 A CN 105992975A
Authority
CN
China
Prior art keywords
design variable
resist
random
value
characteristic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580007982.XA
Other languages
English (en)
Other versions
CN105992975B (zh
Inventor
S·G·汉森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN105992975A publication Critical patent/CN105992975A/zh
Application granted granted Critical
Publication of CN105992975B publication Critical patent/CN105992975B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Abstract

本发明披露了一种确定空间图像或抗蚀剂图像的特性的随机变化与一个或更多个设计变量之间关系的方法,所述方法包括:针对所述设计变量的多组值中的每组值从多个空间图像和/或抗蚀剂图像测量出所述特性的值;针对所述设计变量的多组值中的每组值,从针对设计变量的该组值的所述特性的值的分布确定所述随机变化的值;以及通过从所述随机变化的值以及所述设计变量的多组值拟合一个或更多个参数来确定所述关系。

Description

用于计算任意图案的随机变化的模型
相关申请的交叉引用
本申请要求于2014年2月11日递交的美国临时申请61/938,554的权益,该申请文件以引用的方式整体并入本文。
技术领域
本文的说明书涉及光刻设备和过程,并且更具体地涉及优化用于光刻设备或过程的照射源和/或图案形成装置/设计布局的一种工具。
背景技术
可以将光刻投影设备用在例如集成电路(IC)的制造中。在这种情形中,图案形成装置(例如掩模)可以包含或提供对应于IC的单个层的电路图案(“设计布局”),并且这一电路图案可以通过例如穿过图案形成装置上的电路图案辐射目标部分的方法,被传递到已经涂覆有辐射敏感材料(“抗蚀剂”)层的衬底(例如硅晶片)上的目标部分(例如包括一个或更多的管芯)上。通常,单个衬底包含被经由光刻投影设备连续地、一次一个目标部分地将电路图案转移到其上的多个相邻目标部分。在一种类型的光刻投影设备中,整个图案形成装置上的电路图案一下子被传递到一个目标部分上,这样的设备通常称作为晶片步进机。在一种替代的设备(通常称为步进扫描设备)中,投影束沿给定的参考方向(“扫描”方向)在图案形成装置上扫描,同时沿与该参考方向平行或反向平行的方向同步移动衬底。图案形成装置上的电路图案的不同部分渐进地转移到一个目标部分上。因为通常光刻投影设备将具有放大率因子M(通常<1),所以衬底被移动的速度F将是投影束扫描图案形成装置的速度的M倍。关于在此处描述的光刻装置的更多的信息可以例如参见美国专利No.6,046,792,在此处通过引用将其并入本文中。
在将电路图案从图案形成装置转移至衬底之前,衬底可能经历各种工序,诸如涂底、抗蚀剂涂覆以及软焙烤。在曝光之后,衬底可能经历其它工序,例如曝光后焙烤(PEB)、显影、硬焙烤以及对所转移的电路图案的测量/检验。这一系列的工序被用作为制造器件(例如IC)的单个层的基础。之后衬底可能经历各种过程,诸如蚀刻、离子注入(掺杂)、金属化、氧化、化学机械抛光等,所有的这些工序都是用于最终完成器件的单个层。如果器件需要多个层,那么将不得不对于每一层重复整个工序或其变形。最终,器件将设置在衬底上的每一目标部分中。之后这些器件通过诸如切片或切割等技术,将这些器件彼此分开,据此独立的器件可以安装在载体上,连接至引脚等。
如注意到的,微光刻术是集成电路的制造中的核心步骤,其中在衬底上形成的图案限定了IC的功能元件,诸如微处理器、存储器芯片等。类似的光刻技术也用于形成平板显示器、微机电系统(MEMS)以及其它器件。
随着半导体制造工艺不断发展,功能元件的尺寸被不断地降低,同时每一器件的功能元件(诸如晶体管)的数量在数十年来一直遵循通常称为“摩尔定律”的趋势而稳步地增长。在现有技术的情形下,通过使用光刻投影设备来制造器件的层,该光刻投影设备使用来自深紫外照射源的照射将设计布局投影到衬底上,从而产生具有充分地低于100nm的尺寸的独立的功能元件,即该功能元件的尺寸小于照射源(例如,193nm照射源)的辐射的波长的一半。
印刷具有小于光刻投影设备的经典的分辨率极限的尺寸的特征的过程,通常被称为低k1光刻术,其基于分辨率公式CD=k1×λ/NA,其中λ是所采用的辐射波长(当前在大多数情形中是248nm或193nm),NA是光刻投影设备中的投影光学装置的数值孔径,CD是“临界尺寸”(通常是所印刷的最小特征尺寸),以及k1是经验分辨率因子。通常,k1越小,在衬底上复现图案(类似由电路设计者为获得特定的电功能和性能而设计的形状和尺寸)变得越困难。为了克服这些困难,复杂的精细调节步骤被应用于光刻投影设备以及设计布局。这些例如包括但不限于NA和光学相干性设定的优化、定制的照射方案、相移图案形成装置的使用、在设计布局中的光学邻近效应校正(OPC,有时称为“光学和过程校正”)或通常被定义成“分辨率增强技术(RET)”的其它方法等。如此处使用的术语“投影光学装置”应当被广义地解释成包括各种类型的光学系统,例如包括折射式光学装置、反射式光学装置、孔阑和折射反射式光学装置。术语“投影光学装置”还可以统一地或单独地包括根据用于引导、成形或控制辐射投影束的这些设计类型中的任一种进行操作的部件。术语“投影光学装置”可以包括在光刻投影设备中的任何光学部件,而不管光学部件处于光刻投影设备的光路上的哪一位置上。投影光学装置可以包括用于在辐射穿过图案形成装置之前成形、调整和/或投影来自源的辐射的光学部件,和/或用于在辐射穿过图案形成装置之后成形、调整和/或投影辐射的光学部件。投影光学装置通常不包括源和图案形成装置。
发明内容
本发明披露了一种确定空间图像或抗蚀剂图像的特性的随机变化与一个或更多设计变量之间关系的方法,所述方法包括:针对所述设计变量的多组值中的每组值从多个空间图像和/或抗蚀剂图像测量出所述特性的值;针对所述设计变量的多组值中的每组值,从针对设计变量的该组值的所述特性的值的分布确定所述随机变化的值;以及通过从所述随机变化的值以及所述设计变量的多组值拟合一个或更多参数来确定所述关系。
根据实施例,所述随机变化包括LER和/或LWR。
根据实施例,所述设计变量包括模糊图像ILS、剂量、全局偏差、掩模固定偏差和/或图像强度。
根据实施例,所述方法还包括辨识所述空间图像或抗蚀剂图像上的热点。
根据实施例,所述方法还包括使用所述关系来确定剂量。
根据实施例,所述方法还包括使用所述关系来确定生产率。增加所述生产率可以通过降低剂量来实现,降低剂量可以是通过掩模偏差来实现。
本发明披露了一种计算机执行方法,用于改善使用光刻投影设备将设计布局的一部分成像在衬底上的光刻过程,所述方法包括:限定多变量成本函数,所述多变量成本函数是空间图像或抗蚀剂图像的特性的随机变化的函数,所述随机变化是作为所述光刻过程的特性的多个设计变量的函数;使用通过使用根据以上方法中任一方法所确定的所述关系来计算出所述随机变化;通过调节所述一个或更多设计变量直至满足一定终止条件,重新配置所述光刻过程的一个或更多特性。
根据实施例,所述设计布局的部分包括选自下列项的一个或更多个:整体设计布局、片段、设计布局的已知具有一个或更多临界特征的部段、所述设计布局中的热点或温点已被辨识的部段,以及所述设计布局中一个或更多临界特征已被辨识的部段。
根据实施例,终止条件包括选自以下的一个或更多个:所述成本函数的最小化;所述成本函数的最大化;达到某一定数目的迭代;达到等于或超出某一阈值的所述成本函数的值;达到某一计算时间;达到在可接受误差极限内的所述成本函数的值;和/或最小化在所述光刻过程中的曝光时间。
根据实施例,一个或更多设计变量是用于所述光刻设备的照射源的特性,和/或所述设计变量中的一个或更多设计变量是所述设计布局的特性,和/或所述设计变量中的一个或更多设计变量是所述光刻设备的投影光学件的特性,和/或所述设计变量中的一个或更多设计变量是所述衬底的抗蚀剂的特性,及/或所述设计变量中的一个或更多设计变量是所述空间图像或所述抗蚀剂图像的特性。
根据实施例,所述迭代重新配置包括对所述设计变量中至少一些设计变量的范围加以规定的约束。
根据实施例,所述设计变量中的至少一些设计变量是在代表所述光刻投影设备的硬件实施中的物理限制的约束下。
根据实施例,所述约束包括选自下列的一个或更多个:调谐范围,决定图案形成装置可制造性的规则,和/或所述设计变量之间的相互依赖性。
根据实施例,所述约束包括所述光刻投影设备的生产率。
根据实施例,所述成本函数是下列光刻度量中的一个或更多个度量的函数:边缘定位误差、临界尺寸、抗蚀剂轮廓距离、最严重缺陷大小,和/或最佳焦点移位。
根据实施例,所述成本函数通过一种选自包括高斯-牛顿(Gauss-Newton)算法、雷文博格-马括特(Levenberg-Marquardt)算法、梯度下降算法、模拟退火、及遗传算法的组的方法而最小化。
根据实施例,所述随机变化包括线边缘粗糙度(LER)、线宽粗糙度(LWR)、LCDU、孔LCDU、CER或它们的组合。
根据实施例,所述随机效应是由以下各项造成:所述衬底的抗蚀剂中的光子散粒噪声、光子生成的次级电子、光子生成的酸;所述衬底的抗蚀剂中的光子可激活或电子可激活粒子的分布;所述衬底的抗蚀剂中的光子可激活或电子可激活粒子的密度,或它们的组合。
本发明披露了一种计算机程序产品,该计算机程序产品包括:在其上记录了指令的计算机可读介质,所述指令在被计算机执行时实施根据以上实施例中任一实施例所述的方法。
本发明披露了一种非暂时性计算机可读介质,其具有处于多种状况和处于所述设计变量的多种值的随机变化的值。
本发明披露了一种计算机执行方法,用于改善使用光刻投影设备将设计布局的一部分成像在衬底上的光刻过程,所述方法包括:针对一个或更多个设计变量的一组值中的每个值来优化所述光刻过程,所述一个或更多个设计变量是所述光刻过程的特性;计算出经优化的光刻过程、由所述经优化的光刻过程产生的空间图像和/或由所述经优化的光刻过程产生的抗蚀剂图像中的一个或更多个特性,由此所述光刻过程的使用者可基于其所希望的特性来选择所述设计变量的一组值。根据实施例,所述方法使用XML文件来实施。
附图说明
图1是光刻系统的各子系统的方块图;
图2是对应于图1中的子系统的模拟模型的方块图;
图3A示意性地图示LER;
图3B示意性地图示LWR;
图4A和图4B示意性地示出确定空间图像或抗蚀剂图像的特性的随机变化与一个或更多设计变量之间关系的方法;
图5A和5B示出使用所述关系拟合的结果;
图5C示意性地示出WWLCDU的概念及其与NBLCDU的差异;
图5D示意性地示出式40的关系针对多个不同抗蚀剂模型(每个面板针对不同的抗蚀剂模型)产生良好拟合;
图5E示意性示出CER的概念;
图6示出用于计算和示出所述随机变化的示意性流程图;
图7示出使用所述随机变化而辨识出的热点;
图8示出包含了在多种条件下和在设计变量的多个值下的随机变化的值的非暂时性计算机可读介质;
图9示出联合优化的示例方法的方面的流程图;
图10示出根据实施例的另一优化方法的实施例;
图11A、11B和12示出各种优化过程的示例流程图;
图13是示例计算机系统的方块图;
图14是光刻投影设备的示意图;
图15是另一光刻投影设备的示意图;
图16是图15中的设备的更详细视图;
图17是图15和16的设备的源收集器模块SO的更详细视图;
图18示出所述随机效应的生产率和测量的多种关系;
图19示意性地图示了针对设计变量的一组值执行优化并且将过程、空间图像、和/或抗蚀剂图像呈现给用户的一种方法,从而使得用户可以基于其所期望的特性选择所述设计变量的一组值。
具体实施方式
尽管在本文中具体的参考被用于制造IC,但应当清楚地理解本文的说明具有许多其它可能的应用。例如,可用于集成光学系统、磁畴存储器的引导和检测图案、液晶显示面板、薄膜磁头等的制造。本领域技术人员应该理解的是,在这种替代应用的情况中,可以将这种情形中的使用的任意术语“掩模版”、“晶片”或“管芯”分别认为能够与更上位的术语“掩模”、“衬底”或“目标部分”相互通用。
在本文中,术语“辐射”和“束”用于包括各种类型的电磁辐射,包括紫外辐射(例如具有365、248、193、157或126nm的波长)和EUV(极紫外辐射,例如具有在5-20nm范围内的波长)。
如此处使用的术语“进行优化”和“优化”的意思是调节光刻投影设备,使得光刻的结果和/或过程具有更加理想的特性,诸如衬底上的设计布局的更高的投影精度、更大的过程窗口等。
此外,光刻投影设备可以是具有两个或更多的衬底台(和/或两个或更多的图案形成装置台)的类型。在这样的“多平台”装置中,可以并行地使用附加的台,或可以在一个或更多的台上进行预备步骤的同时,将一个或更多的其它台用于曝光。例如,在美国专利US5,969,441中描述了双平台光刻投影设备,通过引用将其并入本文中。
上文提及的图案形成装置包括或可以形成设计布局。可以利用CAD(计算机辅助设计)程序来产生设计布局,该过程通常被称作为EDA(电子设计自动化)。大多数CAD程序遵循一组预定的设计规则,用于产生功能设计布局/图案形成装置。这些规则由处理和设计限制来设定。例如,设计规则限定了电路器件(诸如栅极、电容器等)或互连线之间的间隔容许度,以便于确保电路器件或线不会以不被期望的方式相互作用。设计规则限制典型地称作为“临界尺寸”(CD)。电路的临界尺寸可以被定义为线或孔的最小宽度或者两条线或两个孔之间的最小间隔。因此,CD确定了所设计的电路的整体尺寸和密度。当然,集成电路制造中的目标之一是如实地在衬底上(经由图案形成装置)复现原始的电路设计。
在这种情形中采用的术语“掩模”或“图案形成装置”可以广义地解释成表示可以用于为入射的辐射束赋以对应于将要在衬底的目标部分中产生的图案的图案化的横截面的一般性的图案形成装置;术语“光阀”也可以用于这种情形。除了传统的掩模(透射式或反射式掩模;二元掩模、相移掩模、混合型掩模等)之外,其它的图案形成装置的例子包括:
-可编程反射镜阵列。这样的器件的一个例子是具有粘弹性控制层和反射表面的矩阵可寻址表面。这样的设备所依据的基本原理是(例如)反射表面的已寻址区域将入射辐射反射成衍射辐射,而未寻址区域将入射辐射反射成非衍射辐射。使用适合的滤光片,可以从反射束中过滤掉所述非衍射辐射,从而之后仅留下衍射辐射;这样,所述束根据矩阵可寻址表面的寻址图案而被图案化。所需要的矩阵寻址可以通过使用适合的电子装置进行。关于这样的反射镜阵列的更多的信息可以参见例如美国专利No.5,296,891和No.5,523,193,通过引用将它们并入本文中。
-可编程LCD阵列。在美国专利No.5,229,872中给出了这样的构造的一个例子,通过引用将其并入本文中。
作为简短介绍,图1示出了示例性的光刻投影设备10A。主要部件是:辐射源12A,其可以是深紫外准分子激光源或包括极紫外(EUV)源在内的其它类型的源(如上所述,光刻投影设备本身不需要具有辐射源);照射光学装置,其限定了部分相干性(标记为σ)且可以包括光学装置14A、16Aa和16Ab,其对来自源12A的辐射成形;图案形成装置14A;以及透射光学装置16Ac,其将图案形成装置图案的图像投影到衬底平面22A上。在投影光学装置的光瞳面处的可调整的滤光片或孔阑20A可以限制射到衬底平面22A上的束角的范围,其中最大的可能的角度限定了投影光学装置的数值孔径NA=sin(Θmax)。
在系统的优化过程中,系统的品质因数可以表示为成本函数。优化过程归结为求出使成本函数最小化的一组系统参数(设计变量)的过程。成本函数可以依赖于优化的目标而具有任何适合的形式。例如,成本函数可以是系统的特定特性(评价点)相对于这些特性的期望值(例如理想值)的偏差的加权均方根(RMS);成本函数还可以是这些偏差的最大值(即最严重偏差)。此处的术语“评价点”应当被广义地解释成包括系统的任何特性。系统的设计变量可以限制成有限的范围和/或是由于系统的实施的实用性而是相互依赖的。在光刻投影设备的情形中,这些约束通常与硬件的物理性质和特性(诸如可调节范围)和/或图案形成装置可制造性设计规则相关,并且评价点可以包括衬底上的抗蚀剂图像上的物理点以及诸如剂量和聚焦量等非物理特性。
在光刻投影设备中,源提供了照射(即光);投影光学装置将通过图案形成装置的照射引导到衬底上并对其进行成形。术语“投影光学装置”在此处被广义地限定为包括可以改变辐射束的波前的任何光学部件。例如,投影光学装置可以包括部件14A,16Aa,16Ab和16Ac中的至少一些部件。空间图像(AI)是在衬底水平位置处的辐射强度分布。衬底上的抗蚀剂层被曝光,并且空间图像被转移至抗蚀剂层,作为其中的潜在的“抗蚀剂图像”(RI)。抗蚀剂图像(RI)可以被定义为抗蚀剂层中的抗蚀剂的溶解度的空间分布。抗蚀剂模型可以用于从空间图像计算抗蚀剂图像,其示例可以在共同转让的美国专利申请No.12/315,849中找到,该文献的公开内容通过引用将其全部内容并入本文中。抗蚀剂模型仅与抗蚀剂层的性质(例如在曝光、PEB和显影期间发生的化学过程的效应)相关。光刻投影设备的光学性质(例如源、图案形成装置和投影光学装置的性质)规定了空间图像。因为可以改变在光刻投影设备中使用的图案形成装置,所以期望将图案形成装置的光学性质与包括至少源和投影光学装置的光刻投影设备的其余部分的光学性质分离开。
在图2中示出了光刻投影设备中的模拟光刻的示例性流程图。源模型31表示源的光学特性(包括辐射强度分布和/或相位分布)。投影光学模型32表示投影光学装置的光学特性(包括由投影光学装置所引起的辐射强度分布和/或相位分布的变化)。设计布局模型35表示设计布局的光学特性(包括由给定的设计布局33所引起的辐射强度分布和/或相位分布的变化),其是图案形成装置上或由图案形成装置形成的特征的布置的表示。空间图像36可以由设计布局模型35、投影光学装置模型32和设计布局模型35模拟。抗蚀剂图像38可以使用抗蚀剂模型37由空间图像36来模拟。对光刻的模拟可以例如预测在抗蚀剂图像38中的轮廓和CD。
更具体地,注意到,源模型31可以表示源的光学特性,包括但不限于NA-西格玛(σ)设定以及任何特定的照射源形状(例如诸如环形的、四极和双极等的离轴辐射源等)。投影光学装置模型32可以表示投影光学装置的光学特性,其包括像差、变形、折射率、物理大小、物理尺寸等。设计布局模型35还可以表示物理图案形成装置的物理性质,如所描述的,例如在美国专利No.7,587,704中所描述的,通过引用将其全部内容并入本文中。模拟的目标是精确地预测例如边缘的定位、空间图像强度斜率和CD,其之后可以与期望的设计相比较。所述期望的设计通常定义为预先的OPC设计布局,其可以被提供成标准数字文件格式(诸如GDSII或OASIS)或其它文件格式。
可以根据这一设计布局识别一个或更多的部分,其被称作为“片段”。在一个实施例中,提取一组片段,其表示设计布局中的复杂的图案(典型地大约50至1000个片段,尽管可以使用任何数量的片段)。如本领域技术人员所认识到的,这些图案或片段表示设计的小的部分(即电路、单元或图案),并且尤其是片段代表了需要特别关注和/或验证的小的部分。或者说,片段可以是设计布局的部分或可以类似于设计布局的部分或具有与设计布局的部分相类似的行为,其中通过经验(包括由客户提供的片段)、通过反复试验或通过运行全芯片模拟来识别临界特征。片段通常包含一个或更多的测试图案或计量图案。
可以基于设计布局中已知的临界特征区域由客户先验地提供初始的较大组的片段,其需要特定的图像优化。可替代地,在另一实施例中,可以通过使用一些类型的识别临界特征区域的自动化的(诸如机器视觉)或手工的算法从整个设计布局提取所述初始的较大组片段。
在例如使用EUV(极紫外线辐射,其例如具有在5纳米至20纳米的范围内的波长)源或非EUV源的光刻投影设备中,缩减的辐射强度可导致较强随机效应,例如,在诸如孔的小型二维特征中的明显线宽粗糙度(LWR)及局部CD变化。在使用EUV源的光刻投影设备中,缩减的辐射强度可归因于从所述源输出的低总辐射、源于对来自所述源的辐射进行成形的光学装置的辐射损耗、通过投影光学装置的透射损耗、在恒定剂量下导致较少光子的高光子能量等等。随机效应可归因于诸如以下因素:在所述抗蚀剂中的光子散粒噪声、光子生成次级电子、光子吸收变化、光子生成的酸。所需要的EUV的特征的小尺寸以进一步加强这些随机效应。较小特征中的随机效应是产品良率中的显著因素,且证明了其包括于光刻投影设备的多种优化过程中是合理的。
在相同辐射强度下,每衬底的较低曝光时间导致光刻投影设备的较高生产率,但导致较强随机效应。在给定辐射强度下的给定特征中的光子散粒噪声与曝光时间的平方根成比例。在使用EUV及其他辐射源的光刻术中,存在出于增加生产率的目的而降低曝光时间的期望。因此,考虑优化过程中的随机效应的本文所描述的方法及设备不限于EUV光刻术。
生产率也可受到被引导至衬底的光的总量的影响。在某些光刻投影设备中,牺牲来自所述源的光的一部分以便实现所述源的所需形状。
图3A示意性示出LER。假定所有条件在设计布局上的特征的边缘903的三次曝光或曝光模拟中均相同,则边缘903的抗蚀剂图像903A、903B及903C可具有略微不同的形状及部位。可通过分别平均化抗蚀剂图像903A、903B及903C来量测抗蚀剂图像903A、903B及903C的部位904A、904B及904C。边缘903的LER可以是部位904A、904B及904C的空间分布的量度。举例而言,LER可以是所述空间分布的3σ(假定所述分布是正态分布)。可从所述边缘903的许多曝光或模拟导出LER。
图3B示意性示出LWR。假定所有条件在设计布局上具有宽度911的长矩形特征910的三次曝光或曝光模拟中均相同,则矩形特征910的的抗蚀剂图像910A、910B及910C可分别具有略微不同的宽度911A、911B及911C。矩形特征910的LWR可以是宽度911A、911B及911C的分布的量度。举例而言,LWR可以是所述分布的3σ(假定所述分布是正态分布)。可从矩形特征910的许多曝光或模拟导出LWR。在短特征(例如,接触孔)的情况下,因为长边缘不可用于平均化所述短特征的图像的部位,所以并未良好地界定所述短特征的图像的宽度。相似的量LCDU可用来表征所述随机变化。LCDU是短特征的图像的测定CD的分布的3σ(假定所述分布是正态分布)。
图4A中以流程图且图4B中以示意图描绘了确定判定空间图像或抗蚀剂图像的特性的随机变化与一个或更多个设计变量之间关系的方法。在步骤1301中,从针对设计变量的多组值1501中的每组而形成(实际上通过曝光或模拟)的多个空间图像或抗蚀剂图像1502量测出所述特性的值1503。在步骤1302中,针对设计变量的每组值1501从特性的值1503的分布1504确定了所述随机变化的值1505,分布1504是从针对所述设计变量的该组值1501所形成的空间图像或抗蚀剂图像而测得的。在步骤1303中,通过拟合来自所述随机变化的值1504及所述设计变量的成组值1501的模型的一个或更多个参数,来确定了关系1506。
在示例中,随机变化是LER,且设计变量是模糊图像ILS(bl_ILS)、剂量及图像强度。所述模型可以是LER=a×bl_ILSb×(剂量×图像强度)c(式30)。可通过拟合来确定参数a、b及c。
图5A示出使用式30中的模型进行拟合的结果。遵循图4A及图4B的方法来确定在恒定图像强度及恒定剂量情况下的多于900个不同特征的LER1400(作为随机变化的示例)的值,这些特征包括长沟道1401、长线1402、短线1403、短沟道1404、短线端1405,及短沟道端1406。通过拟合LER的值与设计变量bl_ILS的值来确定式30中的参数a及b(使c与a合为一体,这是因为剂量加权的模糊图像强度是恒定的)。以曲线1410示出拟合结果。
图5B示出使用式30中的模型进行拟合的结果。遵循图4A及图4B的方法来确定在多种剂量及多种图像强度情况下的20纳米乘40纳米的沟道1505在宽度方向上的CD及在长度方向上的CD的LCDU1500(作为随机变化的示例)的值。通过拟合LWR的值与设计变量bl_ILS、剂量及图像强度的值来确定式30中的参数a、b及c。
与处理1D特征(例如,长线)的宽度的随机变化(LWR)类似地处理紧凑的2D特征(例如,圆形接触孔)的宽度的随机变化(孔LCDU)是有利的。可在式30中使用与用于LWR的模型相同的模型而将孔LCDU拟合至设计变量。然而,紧凑的2D特征的量测及与紧凑的2D特征相关联的随机变化不同于1D特征的量测及与1D特征相关联的随机变化。举例而言,紧凑的2D特征的量测通常使用“车轮(wagon-wheel)”方法,且相关联的随机变化可被称为“车轮LCDU”(“WWLCDU”)。图5C示意性地示出紧凑的2D特征的WWLCDU的概念、及WWLCDU与所述相同特征的CD的窄带量测的随机变化(“窄带LCDU”或“NBLCDU”)的差异的概念。空间图像或抗蚀剂图像中的短特征1550可具有其边缘部位的随机变化。WWLCDU是面积与短特征1550相同的完整圆圏的直径的随机变化。NBLCDU是沿着一定方向的特征1550的边缘间距离的随机变化,并且在概念方面类似于LWR。图5C示出沿着六个不同方向的六个示例性NBLCDU。NBLCDU捕捉高频变化,而WWLCDU捕捉低频变化。抗蚀剂中的较高模糊导致对相同特征所量测的NBLCDU与WWLCDU之间的较小差异。
因此,将有用的是找到用于WWLCDU的模型。可使用图4A所示出的方法来找到这样的模型。也可通过拟合NBLCDU及WWLCDU的所观测的(通过量测或通过模拟)值而找到NBLCDU与WWLCDU之间的经验关系来找到此模型。所述关系的一个示例是:
WWLCDU=k×NBLCDU×CD-0.5(式40)。
CD是特征1550的名义CD。图5D示出式40的关系在多个不同抗蚀剂(每面板是针对不同抗蚀剂)中产生WWLCDU和k×NBLCDU×CD-0.5两者之间的良好拟合。NBLCDU自身可通过如下式而与诸如bl_ILS、剂量及影像强度这样的设计变量相联系:
式30:NBLCDU=a×bl_ILSb×(剂量×图像强度)c
系数k物理上与抗蚀剂的模糊相关。根据经验数据,确定了k2是一个σ(均方偏差)的模糊的约2.25±0.25倍。
与紧凑的2D特征相关联的另一随机变化是“圆圈边缘粗糙度”(CER)。图5E示意性地描绘CER。假定所有条件在紧凑的2D特征1550的许多曝光或曝光模拟中均相同,则特征1550的抗蚀剂图像1550A、1550B、1550C等可具有略微不同的尺寸1560A、1560B及1560C等(面积与这些图像面积相同的圆圈的直径)。紧凑的2D特征1550的CER可以是尺寸1560A、1560B及1560C等的分布的量度。举例而言,CER可是所述分布的3σ(假定所述分布是正态分布)。可从紧凑的2D特征1550的许多曝光或模拟导出CER。
CER是沿着多个方向的紧凑的2D特征(例如,特征1550)的边缘间距离的随机变化(例如,NBLCDU)。图5E示意性地示出CER的概念。测量了特征1550沿着不同方向的多个边缘间距离。这些边缘间距离可以被绘制为所述方向的函数。也可使用图4A所示出的方法来找到一种将CER表征为所述设计变量的函数的模型。
一旦通过诸如图4A及图4B的方法等方法而确定了空间图像或抗蚀剂图像的特性的随机变化与一个或更多个设计变量之间的关系,就可使用所述关系而针对所述特性来计算随机变化的值。图6示出用于此计算的示例性流程图。在步骤1610中,选择了一组条件(例如,NA、σ、剂量、聚焦、抗蚀剂化学反应、投影光学装置参数、源参数等等)。在步骤1620中,在这些条件下计算设计变量的值。举例而言,抗蚀剂图像的边缘位置,及沿着边缘的bl_ILS。在步骤1630中,从随机变化与设计变量之间的关系计算出随机变化的值。举例而言,随机变化是边缘的LER。在可选步骤1640中,定义了噪声矢量,其频率分布与实际晶片量测大致匹配。在可选步骤1650中,将噪声矢量重叠在特性(在此示例中是随机边缘)上。
空间图像或抗蚀剂图像的特性的随机变化与一个或更多个设计变量之间的关系也可用来辨识空间图像或抗蚀剂图像上的“热点”,如图7所示出。“热点”1700可被定义为所述随机变化超出一定幅值的在图像上的部位。举例而言,如果两个附近边缘上的两个位置具有大的LER值,则此两个位置具有高的彼此接合的机会。
在实施例中,可计算出在多个条件下及在设计变量的多个值下的随机变化的值,且将随机变化的值编译于如图8所示出的非暂时性计算机可读介质1800(诸如,储存于硬盘上的数据库)中。计算机可查询所述介质1800,且从所述介质1800的内容计算出随机变化的值。
空间/抗蚀剂图像的特性的随机变化的确定可以按许多方式用在光刻过程中。在一个示例中,可在OPC中考虑随机变化。
作为一个例子,光学邻近效应校正(OPC)解决的问题是被投影到衬底上的设计布局的图像的最终尺寸和定位将不与图案形成装置上的设计布局的尺寸和定位一致或不仅仅只依赖于图案形成装置上的设计布局的尺寸和定位。注意到,术语“掩模”、“掩模版”、“图案形成装置”在此处是可以相互通用的。另外,本领域技术人员将认识到,尤其是在光刻术模拟/优化的情形中,术语“掩模”/“图案形成装置”和“设计布局”可以相互通用,这是因为在光刻术模拟/优化中,物理图案形成装置不是必须使用的,而是可以用设计布局来代表物理图案形成装置。对于在一些设计布局上出现的小的特征尺寸和高的特征密度,给定特征的特定边缘的位置在一定程度上将受其它邻近特征的存在或不存在的影响。这些邻近效应由于从一个特征耦合至另一特征的微小量的光而产生和/或由非几何光学效应(诸如衍射和干涉)产生。类似地,邻近效应可能由在通常在光刻术之后的曝光后焙烤(PEB)、抗蚀剂显影和蚀刻期间的扩散和其它化学效应产生。
为了使设计布局中的投影图像与给定的目标电路设计的需要一致,需要使用复杂的数值模型针对于设计布局的校正或预变形来预测和补偿邻近效应。文章“Full-ChipLithography Simulation and Design Analysis–How OPC Is Changing IC Design”,C.Spence,Proc.SPIE,Vol.5751,pp 1-14(2005)提供了当前的“基于模型的”光学邻近效应校正过程的概述。在典型的高端设计中,设计布局的几乎每个特征通常需要一些修改,用以实现投影图像对于目标设计的高保真度。这些修改可以包括边缘位置或线宽的位移或偏置以及“辅助”特征的应用,所述“辅助”特征用来辅助其它特征的投影。
假定典型地在芯片设计中设置有数百万个特征,则将基于模型的OPC应用至目标设计涉及良好的过程模型和相当大量的计算资源。然而,应用OPC通常不是“精确的科学”,而是经验性的迭代过程,其不总是能补偿所有可能的邻近效应。因此,OPC效果(例如在应用OPC和任何其它的RET之后的设计布局)需要通过设计检查进行验证,即,使用经过校准的数值过程模型的透彻的全芯片模拟,用以最小化设计缺陷被引入图案形成装置图案中的概率。这是由在几百万美元的范围内运行的制造高端图案形成装置的巨大成本驱动的,以及由如果已经制造了实际图案形成装置而重新加工或重新修复它们对周转时间的影响所驱动。
OPC和全芯片RET验证都可以基于如例如在美国专利申请No.10/815,573和文章题目为“Optimized Hardware and Software For Fast,Full Chip Simulation”,Y.Cao etal.,Proc.SPIE,Vol.5754,405(2005)中所描述的数值模型化系统和方法。
一种RET与设计布局的全局偏差(也称为“掩模偏差”)的调节有关。全局偏差为设计布局中的图案与打算印刷在衬底上的图案的差异。例如,25nm直径的圆形图案可以通过设计布局中的50nm直径的图案印刷到衬底上,或者通过设计布局中20nm直径的图案而用大剂量印刷到衬底上。
除了对设计布局或图案形成装置(例如OPC)的优化之外,照射源也可以被优化,或者与图案形成装置优化一起进行优化或单独地进行优化,致力于改善整体的光刻保真度。在本文中术语“照射源”和“源”可以相互通用。自20世纪90年代起,已经引入了许多离轴照射源(诸如环形的、四极以及双极的),并且为OPC设计提供了更大的自由度,从而改善了成像结果。已知,离轴照射是一种分辨包含在图案形成装置中的精细结构(即目标特征)的经证实的方式。然而,在与传统的照射源相比较时,离轴照射源通常为空间图像(AI)提供较低的辐射强度。因此,需要试图优化照射源,以在更精细的分辨率和降低的辐射强度之间获得优化的平衡。
例如,在Rosenbluth等题目为“Optimum Mask and Source Patterns to Print AGiven Shape”,Journal of Microlithography,Microfabrication,Microsystems 1(1),pp.13-20,(2002)的文章中,可以发现诸多的照射源优化方法。所述源被细分成多个区域,每一区域对应于光瞳光谱的特定区域。之后,假定源分布在每一源区域中是均匀的,且对于过程窗口优化每一区域的亮度。然而,这样的假定“源分布在每一源区域中是均匀的”不总是有效的,因此这一方法的有效性受到影响。在Granik的题目为“Source Optimizationfor Image Fidelity and Throughput”,Journal of Microlithography,Microfabrication,Microsystems 3(4),pp.509-522,(2004)的文章中阐述的另一例子中,综述了几个现有的源优化方法,提出了基于照射器像素的方法,该方法将源优化问题转换成一系列非负的最小二乘优化。虽然这些方法已经证实了一些成功,但是它们典型地需要多个复杂的迭代以收敛。另外,可能难以为一些额外的参数(诸如在Granik方法中的γ)确定适合的值/优化的值,这些额外的参数规定了在为衬底图像保真度对源进行的优化和源的平滑度要求之间的折衷。
对于低k1光刻术,对源和图案形成装置的优化对于确保用于临界电路图案的投影的可行的过程窗口是有用的。一些算法(例如Socha等.Proc.SPIE vol.5853,2005,p.180)使得照射离散成独立的源点和使掩模离散成空间频率域中的衍射级,和基于过程窗口度量(诸如曝光宽容度)独立地用公式表达成本函数(其被定义为所选择的设计变量的函数),所述过程窗口度量可以通过光学成像模型由源点强度和图案形成装置衍射级进行预测。此处使用的术语“设计变量”包括光刻投影设备或光刻过程的一组参数,例如光刻投影设备的使用者可以调节的参数,或用户可以通过调节那些参数来加以调节的图像特征。应当认识到,光刻投影过程的任何特性(包括源、图案形成装置、投影光学装置和/或抗蚀剂特性中的这些特性)在优化中可以在设计变量之中。成本函数通常是设计变量的非线性函数。之后标准优化技术用于最小化成本函数。
相关地,不断紧缩的设计规则的压力已经驱动半导体芯片制造者更深地进入到具有已有的193nm ArF光刻术的低k1光刻术时代。朝向较低的k1的光刻术对分辨率增强技术(RET)、曝光工具以及光刻友好设计的需要提出了很高的要求。在将来可能使用1.35ArF的超高数值孔径(NA)曝光工具。为了帮助确保可以用可工作的过程窗口来将所述电路设计印刷到衬底上,源-图案形成装置优化(本文称作源-掩模优化或SMO)成为了对于2x nm节点所需要的重要的RET。
源和图案形成装置(设计布局)优化方法和系统允许使用成本函数没有约束地且在实际可行的时间量内同时优化源和图案形成装置,其在共同转让的于2009年11月20日申请的、公开号为WO2010/059954的题目为“Fast Freeform Source and Mask Co-Optimization Method”的国际专利申请No.PCT/US2009/065359中进行了描述,通过引用将其全部内容并入本文中。
另一种源和掩模优化方法和系统涉及通过调节源像素来优化所述源,其在共同转让的于2010年6月10日申请的、美国专利申请公开号为2010/0315614的题目为“Source-Mask Optimization in Lithographic Apparatus”的美国专利申请No.12/813456中进行了描述,通过引用将其全部内容并入本文中。
在光刻投影设备中,例如,可以将成本函数表达成:
其中(z1,z2,…,zN)是N个设计变量或该设计变量的值;fp(z1,z2,…,zN)可以是设计变量(z1,z2,…,zN)的函数,例如一组设计变量(z1,z2,…,zN)的值在评价点处的特性的实际值和期望值之间的差别。wp是与fp(z1,z2,…,zN)有关的权重常数。比其它图案或评价点更加关键的图案或评价点可以被分配更高的wp值。具有更大的出现次数的图案和/或评价点也可以被分配更高的wp值。评价点的示例可以是衬底上的任何物理点或图案、或虚拟设计布局或抗蚀剂图像或空间图像上的任何点,或它们的组合。fp(z1,z2,…,zN)还可以为一个或更多个随机效应的函数,例如LWR,其是设计变量(z1,z2,…,zN)的函数。成本函数可以表示光刻投影设备或衬底的任何适合的特性,例如聚焦量、CD、图像偏移、图像变形、图像旋转、随机效应、生产率、CDU或它们的组合。LCDU为局部CD变量(例如,局部CD分布的标准偏差的三倍)。在一个实施例中,成本函数表示LCDU、生产率和随机效应(例如为它们的函数)。在一个实施例中,成本函数表示EPE、生产率和随机效应(例如为它们的函数)。在一个实施例中,设计变量(z1,z2,…,zN)包括剂量、图案形成装置的全局偏差、来自源的照射的形状或者它们的组合。因为正是抗蚀剂图像通常决定衬底上的电路图案,所以成本函数通常包括表示抗蚀剂图像的一些特性的函数。例如,该评估点的fp(z1,z2,…,zN)可以简单地是抗蚀剂图像中的点至所述点的期望位置之间的距离(即边缘定位误差EPEp(z1,z2,…,zN))。所述设计变量可以是任何可调节的参数,诸如源、图案形成装置、投影光学装置、剂量、聚焦量等可调节参数。投影光学装置可以包括统称为“波前操纵器(wavefront manipulator)”的部件,其可以用于调整波前的形状和辐射束的强度分布和/或相移。投影光学装置优选地可以在沿着光刻投影设备的光路的任何位置调整波前和强度分布,诸如在图案形成装置之前、光瞳面附近、像平面附近、焦平面附近。投影光学装置可以用于校正或补偿例如由源、由图案形成装置、由光刻投影设备中的温度变化、由光刻投影设备中的部件的热膨胀所引起的波前和强度分布的某些变形。调整波前和强度分布可以改变评价点的值和成本函数。可以由模型来模拟或实际测量这样的变化。当然,CF(z1,z2,…,zN)不限于式1中的形式。CF(z1,z2,…,zN)可以为任何其它合适的形式。
应当注意,fp(z1,z2,…,zN)的规范的加权均方根(RMS)被定义为因此最小化fp(z1,z2,…,zN)的加权RMS等同于最小化式1中定义的成本函数因此,为在本文中表示简单起见,fp(z1,z2,…,zN)的加权RMS和式1可以相互通用。
此外,如果考虑最大化PW(过程窗口),那么可以将来自不同的PW条件的相同的物理位置认为是在(式1)中的成本函数的不同的评价点。例如,如果考虑N个PW条件,那么可以根据它们的PW条件对评价点进行分类,且将成本函数写成:
其中fpu(z1,z2,…,zN)是第u个PW条件(u=1,…,U)下fp(z1,z2,…,zN)的值。在fp(z1,z2,…,zN)是EPE时,那么最小化上述成本函数等价于在各种PW条件下最小化边缘偏移,因此导致最大化PW。尤其是,如果PW还由不同的掩模偏置构成,那么最小化上述成本函数还包括对MEEF(掩模误差增强因子)的最小化,其被定义成衬底EPE和引起的掩模边缘偏置之间的比例。
设计变量可以具有约束条件,其可以表达成(z1,z2,…,zN)∈Z,其中Z是设计变量的一组可能的值。对设计变量的一种可能的约束条件可以由光刻投影设备的期望生产率施加。期望生产率的下限导致剂量的上限,并且因而具有对随机效应的影响(例如,对随机效应施加下限)。较短的曝光时间和/或较低的剂量通常导致较高的生产率但较大的随机效应。由于随机效应是设计变量的函数,所以对衬底生产率和随机效应的最小化的考虑可能约束设计变量的可能的值。在没有由期望的生产率施加的该约束条件的情况下,优化可能生成设计变量的不切实际的一组值。例如,如果剂量在设计变量之中,则在没有该约束条件的情况下,优化可能生成使生产率不可能经济的剂量值。然而,约束条件的有用性不应被看作是必需的。生产率可能受到光瞳填充比率的影响。对于某些照射器设计,低光瞳填充比率可能舍弃光,导致较低生产率。生产率也可能受到抗蚀剂化学反应影响。较慢的抗蚀剂(例如,需要较高量的光来适当地曝光的抗蚀剂)导致较低生产率。
因此,优化过程是在约束条件(z1,z2,…,zN)∈Z下找到能够最小化成本函数的设计变量的一组值,即找到
在图9中示出了根据实施例的优化光刻投影设备的一般方法。该方法包括定义多个设计变量的多变量成本函数的步骤302。设计变量可以包括从照射源(300A)的特性(例如,光瞳填充比率,即穿过光瞳或孔的源的辐射的百分比)、投影光学装置(300B)的特性和设计布局(300C)的特性中选择的任意合适的组合。例如,设计变量可以包括照射源(300A)的特性和设计布局(300C)的特性(即全局偏差),但不包括投影光学装置(300B)的特性,这导致SMO。替代地,设计变量可以包括照射源(300A)的特性、投影光学装置(300B)的特性和设计布局(300C)的特性,这导致源-掩模-透镜优化(SMLO)。在步骤304中,同时调节设计变量,使得朝向收敛移动成本函数。在步骤306中,确定预定的终止条件是否被满足。预定的终止条件可以包括各种可能性,即成本函数可以被最小化或最大化,如由使用的数值技术所要求的,成本函数的值与阈值相等或越过阈值,成本函数的值达到预定的误差极限内,或达到预定的迭代次数。如果步骤306中的任一条件被满足,那么所述方法终止。如果步骤306中的条件都没有被满足,那么步骤304和306被重复地迭代,直到获得了期望的结果为止。优化未必导致用于设计变量的单组值,因为可能存在着由诸如光瞳填充因子、抗蚀剂化学反应、生产率等等因素所造成的物理约束。优化可提供用于设计变量及相关联的性能特性(例如,生产率)的多组值,且允许光刻设备的用户选取一组或更多组。图18示出在水平轴线上的生产率(以每小时晶片数目为单位)及在垂直轴线上的随机效应的量度(例如,最差拐角CDU与LER的平均值)与抗蚀剂化学反应(其可由用来曝光所述抗蚀剂所需要的剂量表示)、光瞳填充因子及掩模偏差的若干关系。迹线1811示出在具有100%光瞳填充因子及快速抗蚀剂的情况下的这些关系。迹线1812示出在具有100%光瞳填充因子及慢速抗蚀剂的情况下的这些关系。迹线1821示出在具有60%光瞳填充因子及所述快速抗蚀剂的情况下的这些关系。迹线1822示出在具有60%光瞳填充因子及所述慢速抗蚀剂的情况下的这些关系。迹线1831示出在具有29%光瞳填充因子及所述快速抗蚀剂的情况下的这些关系。迹线1832示出在具有29%光瞳填充因子及所述慢速抗蚀剂的情况下的这些关系。优化可向使用者呈现所有这些可能性,因此,使用者可基于其对所述随机效应和/或生产率的特定要求来选择光瞳因数、抗蚀剂化学反应。优化可进一步包括计算出在生产率与光瞳填充因子、抗蚀剂化学反应及掩模偏差之间的关系。优化可进一步包括计算出在随机效应的量度与光曈填充因子、抗蚀剂化学反应及掩模偏差之间的关系。根据实施例,也如图19的流程图中示意性地所图示,可在设计变量的一组值(例如,所述全局偏差及所述掩模固定偏差的值的数组、矩阵、列表)中的每个下进行优化(步骤1910)。所述优化的成本函数较佳地是所述随机效应的一个或更多个量度(例如,LCDU)的函数。接着,在步骤1920中,可针对所述设计变量的每组值向所述优化的使用者呈现(例如,以3D绘图方式)过程、空间图像和/或抗蚀剂图像的各种特性(例如,CDU、DOF、EL、MEEF、LCDU、生产率等等)。在可选步骤1930中,使用者基于其所期望特性来选择所述设计变量的一组值。可经由XML文件而实施所述流程。
在光刻投影设备中,源、图案形成装置和投影光学装置可以被交替地优化(称为“交替优化”)或被同时优化(称为同时优化)。如在此处使用的术语“同时”、“同时地”、“联合”和“联合地”意思是源、图案形成装置、投影光学装置和/或任何其它的设计变量的特性的设计变量被允许同时变化。如在此处使用的术语“交替”或“交替地”的意思是不是所有的设计变量都允许同时变化。
在图9中,同时执行所有设计变量的优化。我们称这样的流程为同时流程或共同优化流程。可替代地,如图10所示,交替地执行所有设计变量的优化。在这一流程中,在每一步骤中,一些设计变量是固定的,而其它的设计变量被优化以最小化成本函数;之后在下一步骤中,不同组的变量是固定的,而其它的变量被优化以最小化成本函数。这些步骤被交替地执行,直到收敛或特定的终止条件被满足为止。如在图10的非限制性示例性的流程图中所显示的,我们首先获得设计布局(步骤402),之后在步骤404中执行源优化的步骤,其中照射源的所有设计变量被优化(SO)以最小化成本函数,而所有另外的设计变量是固定的。之后在下一步骤406中,执行掩模优化(MO),其中图案形成装置的所有设计变量被优化以最小化成本函数,而所有另外的设计变量是固定的。这两个步骤交替地执行,直到满足步骤408中的特定终止条件为止。可以使用各种终止条件,诸如成本函数的值等于阈值,成本函数的值穿过阈值,成本函数的值达到预定的误差极限内,或达成了预定次数的迭代等。注意到,使用SO-MO-交替优化作为交替流程的例子。交替流程可以采用许多不同的形式,诸如SO-LO-MO交替优化,其中交替地和迭代地执行SO、LO(透镜优化)和MO;或可以首先执行一次SMO,之后交替地和迭代地执行LO和MO,等等。最终,在步骤410中获得了优化结果的输出且所述过程停止。
如之前讨论的,图案选择算法可以与同时或交替优化整合。例如,在采用交替优化时,可以首先执行全芯片SO,识别“热点”和/或“温点”,之后执行MO。鉴于本公开,本领域技术人员将理解,诸多的子优化的排列和组合能够用于实现期望的优化结果。
图11A示出一个示例性优化方法,其中成本函数被最小化。在步骤S502中,获得了所述设计变量的初始值,包括设计变量的调谐范围(若存在)。在步骤S504中,设置了多变量成本函数。在步骤S506中,在用于第一迭代步骤(i=0)的设计变量的起点值周围的足够小的邻域内展开所述成本函数。在步骤S508中,应用了标准多变量优化技术来最小化所述成本函数。应注意,优化问题可在S508中的优化过程期间或在优化过程中的后期阶段施加约束,诸如,调谐范围。步骤S520指示出针对已选择用来对所述光刻过程进行优化的经辨识的评估点的给定测试图案(也被称为“标准度量”)进行每次迭代。在步骤S510中,预测了光刻响应。在步骤S512中,将步骤S510的结果与步骤S522中获得的所期望的或理想的光刻响应值进行比较。如果在步骤S514中满足所述终止条件,即,所述优化产生了足够接近于期望值的光刻响应值,则随后在步骤S518中输出所述设计变量的最终值。所述输出步骤也可包括使用所述设计变量的最终值来输出其他函数,诸如,输出位于光瞳平面(或其他平面)处的经过调整的波前像差图、经优化的源图,及经优化的设计布局等等。如果未满足终止条件,则在步骤S516中,运用第i次迭代的结果来更新所述设计变量的值,且过程返回至步骤S506。下文详细地阐述图11A的过程。
在示例性优化过程中,没有假定或近似在所述设计变量(z1,z2,…,zN)与fp(z1,z2,…,zN)之间的关系,除了所述fp(z1,z2,…,zN)是充分平滑的(例如,存在着一阶导数(n=1,2,…N)),这是在光刻投影设备中大致有效的。诸如高斯-牛顿(Gauss-Newton)算法、雷文博格-马括特(Levenberg-Marquardt)算法、梯度下降算法、模拟退火、及遗传算法这样的一种算法可被应用以找出
此处,使用高斯-牛顿算法作为示例。所述高斯-牛顿算法是适用于一般非线性多变量优化问题的迭代方法。在所述设计变量(z1,z2,…,zN)取(z1i,z2i,…,zNi)值的第i次迭代中,所述高斯-牛顿算法将(z1i,z2i,…,zNi)附近的fp(z1,z2,…,zN)线性化,并且随后计算出在(z1i,z2i,…,zNi)附近给出CF(z1,z2,…,zN)最小值的值(z1(i+1),z2(i+1),…,zN(i+1))。设计变量(z1,z2,…,zN)在第(i+1)次迭代中取值(z1(i+1),z2(i+1),…,zN(i+1))。此迭代继续进行直至收敛(即,CF(z1,z2,…,zN)不再减少)或达到预设数目的迭代为止。
具体地,在第i次迭代中,在(z1i,z2i,…,zNi)附近,
在式3的近似下,所述成本函数变为:
其为所述设计变量(z1,z2,…,zN)的二次函数。除了所述设计变量(z1,z2,…,zN),每项均恒定。
如果设计变量(z1,z2,…,zN)不受任何约束,则可由如下N个线性式进行求解而导出(z1(i+1),z2(i+1),…,zN(i+1)):
其中n=1,2,…,N。
如果设计变量(z1,z2,…,zN)是在呈J个不等式(例如,(z1,z2,…,zN)的调谐范围)的形式的约束下,其中j=1,2,…,J.;以及K个等式(例如,设计变量之间的相互依存性)的形式的约束下,其中k=1,2,…,K.;则优化过程变为经典二次规划问题,其中Anj,Bj,Cnk,Dk是常数。可针对每次迭代施加额外的约束。例如,可引入“阻尼因数”ΔD以限制(z1(i+1),z2(i+1),…,zN(i+1))与(z1i,z2i,…,zNi)之间的差,从而使得式3的近似成立。这样的约束可被表达为zniD≤zni≤zniD。可使用例如在Jorge Nocedal和Stephen J.Wright(柏林纽约:范登博格.剑桥大学出版社(Berlin New York:Vandenberghe.Cambridge University Press))的Numerical Optimization(第2版)中所描述的方法来推导出(z1(i+1),z2(i+1),…,zN(i+1))。
代替对fp(z1,z2,…,zN)的RMS的最小化,所述优化过程可以使得所述评估点之中的最大偏差(最严重缺陷)的幅值最小化至它们的期望值。在此方法中,所述成本函数可以替代地被表达为:
其中CLp是fp(z1,z2,…,zN)的最大允许值。这个成本函数表示在所述评估点之中的最严重缺陷。使用此成本函数进行的优化将所述最严重缺陷的幅值最小化。一种迭代贪婪算法可用于此优化。
式5的所述成本函数可被近似为:
其中q是正偶数,诸如至少4,优选为至少10。式6模仿式5的行为,而同时允许通过使用诸如最深下降方法、共轭梯度方法等方法来以解析方式执行优化并且加速优化。
最小化所述最严重缺陷也可以与fp(z1,z2,…,zN)的线性化相组合。具体地,如在式3中般近似fp(z1,z2,…,zN)。随后,将对于所述最严重缺陷尺寸的约束书写为不等式ELp≤fp(z1,z2,…,zN)≤EUp,其中ELp和EUp是指明对于fp(z1,z2,…,zN)而言的最小和最大允许偏差的两个常数。插入式3,这些约束被变换至如下式,其中p=1,2,…,P:
以及
因为式3通常仅在(z1,z2,…,zN)附近区域有效,所以在此附近区域无法达成所期望的约束ELp≤fp(z1,z2,…,zN)≤EUp的情况下(这可以由所述不等式之中的任何冲突而确定)则常数ELp和EUp可以被放宽直至所述约束可实现为止。此优化过程最小化了(z1,z2,…,zN),i附近的所述最严重缺陷的大小。随后,每个步骤逐渐地减少所述最严重缺陷大小,并且迭代地执行每个步骤直至满足一定的终止条件。这将导致所述最严重缺陷大小的优化缩减。
用以最小化所述最严重缺陷的另一方式是在每次迭代中调整权重wp。例如,在第i次迭代之后,如果第r次评估点是最严重缺陷,则可以在第(i+1)次迭代中增加wr,从而使得对于该评估点的缺陷大小的缩减给出了较高的优先级。
另外,可通过引入拉格朗日乘数来修改式4和式5中的成本函数,以达成对于所述缺陷大小的RMS的优化与对于所述最严重缺陷大小的优化之间的折衷,即,
其中,λ是指定了在对所述缺陷大小的RMS的优化与对所述最严重缺陷大小的优化之间的权衡取舍的预设常数。特别地,如果λ=0,则这变成式4,并且仅最小化所述缺陷大小的RMS;而如果λ=1,则这变成式5,并且仅最小化所述最严重缺陷大小;如果0<λ<1,则在优化中考虑以上两种情形。可使用多种方法来解决这种优化。例如,与先前所描述的方法相似,可以调节每次迭代中的加权。替代地,类似于最小化来自不等式的最严重缺陷大小,所述式6’和6”的不等式可以被视为在二次规划问题的求解期间所述设计变量的约束。随后,对最严重缺陷大小的束缚可以递增地放宽,或递增地增加对最严重缺陷大小的权重,计算出对于每个可达到的最严重缺陷大小的成本函数值,且选择了最小化所述总成本函数的设计变量值作为用于下一步骤的初始点。通过迭代地进行此操作,可以实现这个新成本函数的最小化。
对光刻投影设备进行优化可扩展所述过程窗口。更大的过程窗口在过程设计及芯片设计方面提供更多灵活性。过程窗口可被定义成是使所述抗蚀剂图像在抗蚀剂图像的设计目标的一定极限内的一组焦点及剂量值。应注意,此处所讨论的所有方法也可被扩展至可通过除了曝光剂量及散焦以外的不同的或额外的基本参数而建立的广义过程窗口定义。这些基本参数可包括但不限于诸如NA、σ/均方偏差、像差、偏振这样的光学设定,或抗蚀剂层的光学常数。举例而言,如早先所描述,如果PW也由不同掩模偏差组成,则所述优化包括掩模误差增强因子(MEEF)的最小化,所述掩模误差增强因子(MEEF)被定义为衬底EPE与引起的掩模边缘偏差之间的比率。对于焦点及剂量值所定义的过程窗口在本发明中仅用作示例。下文描述了根据实施例的最大化过程窗口的方法。
在第一步骤中,从过程窗口中的已知条件(f00)开始,其中f0是名义焦距并且ε0是名义剂量,则在邻域(f0±Δf,ε0±ε)中最小化以下的成本函数之一:
如果所述名义焦距f0和名义剂量ε0被允许变动,则它们可以与设计变量(z1,z2,…,zN)一起被组合优化。在接下来的步骤中,如果可以找到一组值(z1,z2,…,zN,f,ε)使得成本函数在预设极限内,则(f0±Δf,ε0±ε)被接受为所述过程窗口的一部分。
替代地,如果不允许焦距和剂量变动,则设计变量(z1,z2,…,zN)在聚焦和剂量被固定于名义焦距f0和名义剂量ε0处的情况下被优化。在替代实施例中,如果可以找到一组值(z1,z2,…,zN)使得成本函数在预设极限内,则(f0±Δf,ε0±ε)被接受为所述过程窗口的一部分。
在本发明中较早描述的方法可以被用来最小化所述式27、27’和27”的相应成本函数。如果所述设计变量是所述投影光学装置的特征,诸如Zernike系数,则最小化所述式27、27’和27”的成本函数导致基于投影光学装置优化即LO的过程窗口最大化。如果设计变量是除了那些投影光学装置以外的源和图案形成装置的特性,则最小化所述式27、27’和27”的成本函数导致基于SMLO的过程窗口最大化,如图9所示。如果设计变量是源和图案形成装置的特性,并且,随后最小化所述式27、27’和27”的成本函数导致基于SMO的过程窗口最大化。式27、27’和27”的成本函数也可包括作为一个或更多个随机效应(诸如,2D特征的LWR或局部CD变化)和生产率的函数的至少一个fp(z1,z2,…,zN),诸如在式7或式8中的fp(z1,z2,…,zN)。
图12示出一种同时SMLO过程可如何使用高斯-牛顿算法以用于优化的一个特定示例。在步骤S702中,识别了设计变量的起始值。也可识别用于每个变量的调谐范围。在步骤S704中,使用所述设计变量来定义成本函数。在步骤S706中,围绕用于在所述设计布局中的所有评估点的起始值展开成本函数。在可选步骤S710中,执行了全芯片仿真以覆盖全芯片设计布局中的所有临界图案。在步骤S714中获得了所期望的光刻响应度量(诸如,CD或EPE),且在步骤S712中比较所述光刻响应度量与那些量的预测值。在步骤S716中,确定了过程窗口。步骤S718、S720及S722类似于如关于图11A所描述的对应步骤S514、S516及S518。如之前所提及,最终输出可以是光瞳平面中的波前像差映射,其经优化以产生所期望的成像性能。最终输出也可以是经优化的源图和/或经优化的设计布局。
图11B示出用以优化所述成本函数的示例性方法,其中所述设计变量(z1,z2,…,zN)包括仅可假定离散值的设计变量。
所述方法通过界定所述照射源的像素群以及所述图案形成装置的图案形成装置块而开始(步骤802)。通常,像素群或图案形成装置块也可被称作光刻过程部件的分区。在一个示例性方法中,将照射源划分成117个像素群,且针对所述图案形成装置界定了94个图案形成装置块(实质上如上文所描述),从而产生总共211个分区。
在步骤804中,选择光刻模型作为用于光刻模拟的基础。光刻模拟产生了用于光刻度量或响应的计算中的结果。将特定光刻度量定义为待优化的性能度量(步骤806)。在步骤808中,设置了用于所述照射源以及所述图案形成装置的初始(预优化)条件。初始条件包括用于所述照射源的像素群及所述图案形成装置的图案形成装置块的初始状态,使得可参考初始照明形状及初始图案形成装置的图案。初始条件也可包括掩模偏置、NA,及聚焦斜率范围。尽管步骤802、804、806及808被描绘为依序步骤,但将应了解到,在本发明的其他实施例中,可以按其他顺序来执行这些步骤。
在步骤810中,对像素群及图案形成装置块进行排序。可使像素群和图案形成装置块在排序中交错。可使用各种排序方式,包括:依序地(例如,从像素群1至像素群117以及从图案形成装置块1至图案形成装置块94)、随机地、根据这些像素群及图案形成装置块的物理部位(例如,使得与所述照射源的中心较接近的像素群排序得较高),及根据所述像素群或图案形成装置块的变更如何影响性能度量。
一旦对像素群及图案形成装置块进行了排序,就调整所述照射源以及所述图案形成装置以改进性能度量(步骤812)。在步骤812中,按排序的次序来分析像素群及图案形成装置块中的每个,以确定像素群或图案形成装置块的变更是否将引起改善的性能度量。如果确定了性能度量将被改善,则相应地改变像素群或图案形成装置块,并且所得的经改善的性能度量以及经修改的照射形状或经修改的图案形成装置图案形成了基线以供比较,用于对较低排序的像素群及图案形成装置块的后续分析。换言之,保留了改善所述性能度量的改变。随着进行和保留了对像素群及图案形成装置块的状态的改变,则初始照射形状及初始图案形成装置图案相应地改变,从而使得经修改的照射形状及经修改的图案形成装置图案源自步骤812中的优化过程。
在其他方法中,也在步骤812的优化过程内执行了像素群和/或图案形成装置块的图案形成装置多边形形状调整及成对轮询(pairwise polling)。
在替代实施例中,交错的同时优化进程可包括改变所述照射源的像素群,且在若发现了所述性能度量的改善的情况下,逐步升高及降低剂量以寻求进一步改善。在另外的替代实施例中,可通过所述图案形成装置图案的偏差改变来替换所述剂量或强度的逐步升高及降低,以寻求同时优化进程中的进一步改善。
在步骤814中,进行了对于性能度量是否已收敛的确定。举例而言,如果在步骤810及812的最后若干次迭代中已证明性能度量的改善很小或无改善,则性能度量可被认为已收敛。如果所述性能度量尚未收敛,则在接下来的迭代中重复所述步骤810及812,其中来自当前迭代的经修改的照射形状及经修改的图案形成装置被用作下一迭代的初始照射形状及初始图案形成装置(步骤816)。
上文所描述的优化方法可用来增加所述光刻投影设备的生产率。举例而言,所述成本函数可包括作为曝光时间的函数的fp(z1,z2,…,zN)。这样的成本函数的优化优选地受到所述随机效应的量度或其他度量约束或影响。具体而言,用于增加光刻过程的生产率的计算机实施方法可包括优化作为光刻过程的一个或更多个随机效应的函数和作为所述衬底的曝光时间的函数的成本函数,以便最小化所述曝光时间。
在一个实施例中,所述成本函数包括作为一个或更多个随机效应的函数的至少一个fp(z1,z2,…,zN)。所述随机效应可包括2D特征的LWR或局部CD变化。在一个实施例中,所述随机效应包括空间图像或抗蚀剂图像的特性的随机变化。举例而言,这些随机变化可包括线边缘粗糙度(LER)、线宽粗糙度(LWR)及局部临界尺寸均匀性(LCDU)。在所述成本函数中包括随机变化允许找出最小化所述随机变化的设计变量的值,由此降低归因于随机效应的缺陷的风险。
图13为图示计算机系统100的方块图,其可以辅助执行本文公开的优化方法和流程。计算机系统100包括:总线102或用于信息通信的其它通信机制;和与总线102耦接的用于处理信息的处理器104(或多个处理器104和105)。计算机系统100还包括主存储器106(诸如随机存取存储器(RAM)或其它动态储存装置),所述主存储器106耦接至总线102,用于储存被处理器104执行的信息和指令。主存储器106还可以用于在由处理器104执行的指令的执行期间储存临时变量或其它中间信息。计算机系统100还包括被耦接至总线102的只读存储器(ROM)108或其它静态储存装置,其用于存储用于处理器104的静态信息和指令。存储装置110(诸如磁盘或光盘)被提供并耦接至总线102,用于存储信息和指令。
计算机系统100可以经由总线102耦接至显示器112(诸如阴极射线管(CRT)或平板或触摸面板显示器),用于给计算机使用者显示信息。输入装置114(包括字母数字键和其它键)耦接至总线102用于将信息和命令选择与处理器104通信。另一类型的使用者输入装置是光标控制器116(诸如鼠标、轨迹球、或光标方向键),用于将方向信息和命令选择与处理器104通信和用于控制显示器112上的光标移动。这一输入装置典型地在两个轴线(第一轴线(例如x)和第二轴线(例如y))上具有两个自由度,这允许所述装置指定平面中的位置。触摸面板(屏)显示器也可以用作输入装置。
根据一个实施例,优化过程的部分可以由计算机系统100响应于用于执行包含在主储存器106中的一个或更多的指令的一个或更多的序列的处理器104而被执行。这样的指令可以被从另一计算机可读介质(诸如储存装置110)读取到主储存器106中。包含在主存储器106中的指令的序列的执行使得处理器104执行此处描述的方法步骤。在多处理布置中的一个或更多的处理器也可以被用于执行包含在主存储器106中的指令的序列。在可替代的实施例中,硬接线电路可以用于替代软件指令或与软件指令结合。因此,本文的描述不限于硬件电路和软件的任何特定的组合。
如此处使用的术语“计算机可读介质”表示参与为了执行而提供指令至处理器104的任何介质。这样的介质可以采用许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如储存装置110。易失性介质包括动态存储器,诸如主存储器106。传输介质包括同轴电缆、铜导线和光纤,包含包括总线102的导线。传输介质还可以采用声波或光波的形式,诸如在射频(RF)和红外(IR)数据通信期间产生的这些声波或光波。计算机可读介质的通常形式包括例如软盘、软碟(flexible disk)、硬盘、磁带、任何其它磁介质、CD-ROM、DVD、任何其它光学介质、穿孔卡、纸带、任何具有孔图案的其它物理介质、RAM、PROM和EPROM、FLASH-EPROM、任何其它存储器芯片或卡带、如下文描述的载波或计算机可以读取的任何其它介质。
各种形式的计算机可读介质可能涉及将一个或更多的指令的一个或更多的序列传送至处理器104,用于执行。例如,指令可以最初出现在远程计算机的磁盘上。远程计算机可以将指令加载到其动态存储器中且使用调制解调器在电话线上发送所述指令。在计算机系统100本地的调制解调器可以接收电话线上的数据,且使用红外发送器将数据转换成红外信号。耦接至总线102的红外探测器可以接收在红外信号中携带的数据和将数据置于总线102上。总线102将数据传送至主存储器106,处理器104从主存储器106获取和执行指令。由主存储器106接收的指令可以可选择地在处理器104的执行之前或之后被储存在储存装置110上。
计算机系统100还优选地包括耦接至总线102的通信接口118。通信接口118提供耦接至网络链路120的双向数据通信,该网络链路120连接至本地网络122。例如,通信接口118可以是综合业务数字网(ISDN)卡或调制解调器,用于提供数据通信连接至对应类型的电话线。作为另一例子,通信接口118可以是局域网(LAN)卡,以提供数据通信连接至兼容的LAN。无线链路也可以被实现。在任何这样的实施方式中,通信接口118发送和接收电、电磁或光信号,其携带表示各种类型的信息的数字数据流。
典型地,网络链路120通过一个或更多的网络将数据通信提供至其它数据装置。例如,网络链路120可以通过本地网络122提供连接至主机124或由网络服务商(ISP)126操作的数据设备。ISP126又通过全球分组数据通信网络(现在被通常称为“互联网”)128提供数据通信服务。本地网络122和互联网128都使用携带数字数据流的电、电磁或光信号。通过各种网络的信号和网络链路120上和通过通信接口118的信号将数字数据传送至计算机系统100和从计算机系统100传送回,其是用于运送信息的载波的示例性形式。
计算机系统100可以通过网络、网络链路120和通信接口118发送信息和接收数据,所述数据包括程序码。在互联网的例子中,服务器130可以通过互联网128、ISP126、局域网122和通信接口118为应用程序发送请求码。一个这样的被下载的应用程序可以提供用于例如实施例的照射优化。在它被接收和/或在储存装置110或用于之后的执行的其它非易失性储存器中储存时,接收码可以被处理器104执行。如此,计算机系统100可以获得成载波形式的应用码。
图14示意性地显示示例性的光刻投影设备,其照射源可以通过使用此处描述的方法而被优化。所述设备包括:
照射系统IL,调节辐射束B。在这一特定的情形中,照射系统还包括辐射源SO;
第一载物台(例如,掩模台)MT,设置有用于保持图案形成装置MA(例如掩模版)的图案形成装置保持器并连接至第一定位装置,以精确地相对于投影系统PS定位图案形成装置;
第二载物台(衬底台)WT,设置有用于保持衬底W(例如涂覆有抗蚀剂的硅晶片)的衬底保持器并连接至第二定位装置,以相对于投影系统PS精确地定位衬底;
投影系统(“透镜”)PS(例如折射式、反射式或折射反射式的光学系统),将图案形成装置MA的受照射部分成像到衬底W的目标部分C(例如包括一个或更多的管芯)上。
如此处显示的,所述设备是透射式的(即具有透射式掩模)。然而,例如,通常它还可以是反射式的(具有反射式掩模)。可替代地,所述设备可以采用另一类型的图案形成装置来替代传统的掩模的使用;例子包括可编程反射镜阵列或LCD矩阵。
源SO(例如汞灯或准分子激光器)产生辐射束。例如,这一辐射束被直接地供给到照射系统(照射器)IL中,或在穿过调节装置(诸如扩束器Ex)之后供给到照射系统(照射器)IL中。照射器IL可以包括调整装置AD,所述调整装置AD用于设定在辐射束中的强度分布的外部和/或内部径向范围(一般分别称为σ-外部和σ-内部)。另外,它通常包括各种其它部件,诸如整合器IN和聚光器CO。这样,照射到图案形成装置MA上的辐射束B在其横截面中具有期望的均匀性和强度分布。
关于图14应当注意的是,源SO可以位于光刻投影设备的壳体内(当源SO是例如汞灯时经常是这样的情形),但是它还可以远离光刻投影设备,其产生的辐射束被引导到所述设备中(例如在适合的定向反射镜的帮助下);所述后一种情况通常是当源SO是准分子激光器(例如是基于KrF,ArF或F2激光的准分子激光器)的情形。
辐射束PB随后被保持在图案形成装置台MT上的图案形成装置MA所拦截。已经穿过图案形成装置MA之后,所述辐射束B穿过透镜PL,其将辐射束B聚焦到衬底W的目标部分C上。在第二定位装置(和干涉仪测量装置IF)的辅助下,衬底台WT可以精确地移动,例如以便在辐射束PB的路径上定位不同的目标部分C。类似地,例如在从图案形成装置库机械获取图案形成装置MA之后或在扫描期间,第一定位装置可以用于相对于辐射束B的路径定位图案形成装置MA。通常,在长行程模块(粗定位)和短行程模块(精定位)(未在图14中明确地示出)的帮助下,实现载物台MT、WT的移动。然而,在晶片步进机的情形中(与步进扫描工具相反),图案形成装置台MT可以仅仅连接至短行程致动器或可以是固定的。
所示出的工具可以在两种不同的模式中使用:
在步进模式中,图案形成装置台MT可以保持为基本静止,且一次(即单个“闪光”)将整个图案形成装置图像投影到目标部分C上。衬底台WT之后在x和/或y方向上被移动,使得可以通过辐射束PB来辐射不同的目标部分C。
在扫描模式中,除了给定的目标部分C不在单个“闪光”中曝光之外,实质上应用了相同的方式。替代地,图案形成装置台MT可以沿给定方向(所谓“扫描方向”,例如y方向)以速度v移动,使得投影束B在图案形成装置图像上扫描;同时,衬底台WT沿相同或相反的方向以速度V=Mv同时地移动,其中M是透镜PL的放大率(典型地M=1/4或1/5)。这样,可以曝光相对大的目标部分C,而不对分辨率进行折衷。
图15示意性地显示另一个示例性的光刻投影设备1000,其照射源可以通过使用此处描述的方法而被优化。
所述光刻投影设备1000包括:
源收集器模块SO;
照射系统(照射器)IL,配置用于调节辐射束B(例如,EUV辐射);
支撑结构(例如掩模台)MT,构造用于支撑图案形成装置(例如掩模或掩模版)MA并与配置用于精确地定位图案形成装置的第一定位装置PM相连;
衬底台(例如晶片台)WT,构造用于保持衬底(例如涂覆有抗蚀剂的晶片)W,并与配置用于精确地定位衬底的第二定位装置PW相连;和
投影系统(例如反射式投影系统)PS,所述投影系统PS配置用于将由图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如包括一根或更多根管芯)上。
如这里所示的,所述设备1000是反射型的(例如,采用反射式掩模)。应当注意,由于大多数材料在EUV波长范围内是吸收性的,因此掩模可以具有多层反射器,所述多层反射器包括例如钼和硅的多叠层。在一个示例中,多叠层反射器具有40层成对的钼和硅,其中每层的厚度为四分之一波长。用X射线光刻术可以产生甚至更小的波长。由于大多数材料在EUV和X射线波长中是吸收性的,所以在图案形成装置形貌上(例如,在多层反射器的顶部上的TaN吸收器)图案化的吸收材料的薄片定义了特征将印刷(正性抗蚀剂)或不印刷(负性抗蚀剂)的区域。
参照图15,照射器IL接收来自源收集器模块SO的极紫外辐射束。用以产生EUV辐射的方法包括但不必限于将材料转换为等离子体状态,该材料具有在EUV范围内具有一个或更多个发射线的至少一种元素,例如氙、锂或锡。在通常称为激光产生等离子体(“LPP”)的一种这样的方法中,所需的等离子体可以通过使用激光束照射燃料来产生,燃料例如是具有发射线元素的材料的液滴、束流或簇团。源收集器模块SO可以是包括用于提供用于激发燃料的激光束的激光器(在图15中未示出)的EUV辐射系统的一部分。所形成的等离子体发射输出辐射,例如EUV辐射,其通过使用设置在源收集器模块中的辐射收集器收集。激光器和源收集器模块可以是分立的实体(例如当使用CO2激光器提供激光束用于燃料激发时)。
在这种情况下,激光器不看作是形成光刻设备的一部分,并且,借助于包括例如合适的定向反射镜和/或扩束器的束传递系统,辐射束被从激光器传递至源收集器模块。在其他情况下,所述源可以是源收集器模块的组成部分(例如当源是放电产生等离子体EUV产生器,通常称为DPP源时)。
照射器IL可以包括调节器,用于调节辐射束的角度强度分布。通常,可以对所述照射器的光瞳平面中的强度分布的至少所述外部和/或内部径向范围(一般分别称为σ-外部和σ-内部)进行调整。此外,所述照射器IL可以包括各种其它部件,例如琢面场反射镜装置和琢面光瞳反射镜装置。可以将所述照射器用于调节所述辐射束,以在其横截面中具有所需的均匀性和强度分布。
所述辐射束B入射到保持在支撑结构(例如,掩模台)MT上的所述图案形成装置(例如,掩模)MA上,并且通过所述图案形成装置来形成图案。在已经由图案形成装置(例如,掩模)MA反射之后,所述辐射束B通过投影系统PS,所述投影系统PS将辐射束聚焦到所述衬底W的目标部分C上。通过第二定位装置PW和位置传感器系统PS2(例如,干涉仪器件、线性编码器或电容传感器)的帮助,可以精确地移动所述衬底台WT,例如以便将不同的目标部分C定位于所述辐射束B的路径中。类似地,可以将所述第一定位装置PM和另一个位置传感器系统PS1用于相对于所述辐射束B的路径精确地定位图案形成装置(例如,掩模)MA。可以使用图案形状装置对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置(例如,掩模)MA和衬底W。
可以将所显示的设备1000用于以下模式中的至少一种中:
1.在步进模式中,在将支撑结构(例如掩模台)MT和衬底台WT保持为基本静止的同时,将赋予所述辐射束的整个图案一次投影到目标部分C上(即,单一的静态曝光)。然后将所述衬底台WT沿X和/或Y方向移动,使得可以对不同目标部分C曝光。
2.在扫描模式中,在对支撑结构(例如掩模台)MT和衬底台WT同步地进行扫描的同时,将赋予所述辐射束的图案投影到目标部分C上(即,单一的动态曝光)。衬底台WT相对于支撑结构(例如掩模台)MT的速度和方向可以通过所述投影系统PS的(缩小)放大率和图像反转特征来确定。
3.在另一种模式中,将用于保持可编程图案形成装置的支撑结构(例如掩模台)MT保持为基本静止,并且在对所述衬底台WT进行移动或扫描的同时,将赋予所述辐射束的图案投影到目标部分C上。在这种模式中,通常采用脉冲辐射源,并且在所述衬底台WT的每一次移动之后、或在扫描期间的连续辐射脉冲之间,根据需要更新所述可编程图案形成装置。这种操作模式可易于应用于利用可编程图案形成装置(例如,如上所述类型的可编程反射镜阵列)的无掩模光刻术中。
图16更详细地示出设备1000,包括源收集器模块SO、照射系统IL以及投影系统PS。源收集器模块SO构造并布置成使得在源收集器模块SO的包围结构220内保持真空环境。用于发射EUV辐射的等离子体210可以通过放电产生等离子体源形成。EUV辐射可以通过气体或蒸汽产生,例如氙气、锂蒸汽或锡蒸汽,其中形成极高温等离子体210以发射在电磁辐射光谱的EUV范围内的辐射。通过例如引起至少部分离子化的等离子体的放电来形成极高温等离子体210。例如,有效生成辐射可能要求Xe、Li、Sn蒸汽或任何其他合适的气体或蒸汽的10Pa的分压。在一个实施例中,被激发的锡(Sn)的等离子体被提供以产生EUV辐射。
由高温等离子体210发射的辐射从源腔211经由可选的定位在源腔211内的开口内或其后面的气体阻挡件或污染物阱230(在某些情况下被称为污染物阻挡件或翼片阱)被传递到收集器腔212。污染物阱230可以包括通道结构。污染物阱230还可以包括气体阻挡件或气体阻挡件和通道结构的组合。此处进一步示出的污染物阱或污染物阻挡件230至少包括通道结构,如现有技术中已知的。
收集器腔211可以包括辐射收集器CO,其可以是所谓的掠入射收集器。辐射收集器CO具有上游辐射收集器侧251和下游辐射收集器侧252。穿过收集器CO的辐射可以被反射离开光栅光谱滤光片240以沿着由虚线‘O’标示的光轴被聚焦在虚源点IF。虚源点IF通常称为中间焦点,并且该源收集器模块布置成使得中间焦点IF位于包围结构220的开口处或其附近。虚源点IF是用于发射辐射的等离子体210的像。
随后辐射穿过照射系统IL,照射系统IL可以包括布置成在图案形成装置MA处提供辐射束21的期望的角分布以及在图案形成装置MA处提供期望的辐射强度均匀性的琢面场反射镜装置22和琢面光瞳反射镜装置24。在辐射束21在由支撑结构MT保持的图案形成装置MA处被反射时,图案化的束26被形成,并且图案化的束26通过投影系统PS经由反射元件28、30成像到由衬底台WT保持的衬底W上。
在照射光学装置单元IL和投影系统PS中通常可以存在比图示的元件更多的元件。光栅光谱滤光片240可以可选地设置,这依赖于光刻设备的类型。此外,可以存在比图中示出的反射镜更多的反射镜,例如在投影系统PS中可以存在在图16中示出的元件以外的1-6个附加的反射元件。
收集器光学装置CO,如图16所示,在图中被示出为具有掠入射反射器253、254以及255的巢状收集器,仅作为收集器(或收集器反射镜)的一个示例。掠入射反射器253、254以及255围绕光学轴线O轴向对称地设置,该类型的收集器光学装置CO优选与放电产生的等离子体源结合使用,通常称为DPP源。
可替代地,源收集器模块SO可以是如图17所示的LPP辐射系统的一部分。激光器LA布置成将激光能量入射到燃料,例如氙气(Xe)、锡(Sn)或锂(Li),由此产生具有几十eV的电子温度的高度离子化的等离子体210。在这些离子的去激发和复合期间生成的高能辐射由等离子体发射,被近正入射收集器光学装置CO收集并被聚焦到包围结构220的开口221上。
此处公开的概念可以模拟用于使亚波长特征成像的任何一般性成像系统或在数学上对用于使亚波长特征成像的任何一般性成像系统进行建模,且可能随着能够产生不断变短的波长的成像技术的出现是特别有用的。已经使用的现有的技术包括EUV(极紫外线)、DUV光刻术,能够用ArF激光器产生193nm波长,甚至可以用氟激光器产生157nm的波长。此外,EUV光刻术能够通过使用同步加速器或通过用高能电子撞击材料(固体或等离子体)来产生在20-5nm范围内的波长,用于产生在这一范围内的光子。
尽管在此所公开的构思可以用于在衬底(例如硅晶片)上成像,但是应当理解,所公开的构思可以与任何其他类型的光刻成像系统一起使用,例如用于在除去硅晶片之外的衬底上成像的光刻成像系统。
可以使用下述方面进一步描述本发明:
1.一种确定空间图像或抗蚀剂图像的特性的随机变化与一个或更多个设计变量之间的关系的方法,所述方法包括:
针对所述设计变量的多组值中的每组值从多个空间图像和/或抗蚀剂图像测量出所述特性的值;
针对所述设计变量的多组值中的每组值,从针对设计变量的该组值的所述特性的值的分布确定所述随机变化的值;以及
由计算机通过从所述随机变化的值以及所述设计变量的多组值拟合一个或更多个参数来确定所述关系。
2.根据方面1所述的方法,其中所述随机变化包括LER、LWR、LCDU、孔LCDU、CER、或它们的组合。
3.根据方面1至2中任一项所述的方法,其中所述设计变量包括模糊图像对数斜率(ILS)、模糊图像强度、图像强度、全局偏差、掩模固定偏差及剂量。
4.根据方面1至3中任一项所述的方法,还包括辨识所述空间图像或抗蚀剂图像上的热点。
5.根据方面1至4中任一项所述的方法,还包括使用所述关系来确定剂量。
6.根据方面1至5中任一项所述的方法,还包括使用所述关系来增加生产率。
7.一种计算机执行方法,用于改善使用光刻投影设备将设计布局的一部分成像在衬底上的光刻过程,所述方法包括:
限定多变量成本函数,所述多变量成本函数是空间图像或抗蚀剂图像的特性的随机变化的函数,所述随机变化是作为所述光刻过程的特性的多个设计变量的函数;
使用通过使用根据方面1至6中所述的方法中任一方法所确定的所述关系来计算出所述随机变化;和
通过调节所述一个或更多个设计变量直至满足一定终止条件为止,来重新配置所述光刻过程的一个或更多个特性。
8.根据方面7所述的方法,其中所述设计布局的部分包括选自下列项的一个或更多个:整体设计布局、片段、设计布局的已知具有一个或更多个临界特征的部段、所述设计布局中的热点或温点已被辨识的部段,以及所述设计布局中一个或更多个临界特征已被辨识的部段。
9.根据方面7所述的方法,其中所述终止条件包括选自以下条件中的一个或更多个:
所述成本函数的最小化;
所述成本函数的最大化;
达到一定数量的迭代;
达到等于或超过一定阈值的所述成本函数的值;
达到一定的计算时间;
达到在可接受的误差极限内的所述成本函数的值;和/或
最小化在所述光刻过程中的曝光时间。
10.根据方面7所述的方法,其中一个或更多个设计变量是用于所述光刻设备的照射源的特性,和/或所述设计变量中的一个或更多个设计变量是所述设计布局的特性,和/或所述设计变量中的一个或更多个设计变量是所述光刻设备的投影光学装置的特性,和/或所述设计变量中的一个或更多个设计变量是所述衬底的抗蚀剂的特性,和/或所述设计变量中的一个或更多个设计变量是所述空间图像或所述抗蚀剂图像的特性。
11.根据方面7所述的方法,其中迭代重新配置包括对所述设计变量中至少一些设计变量的范围加以规定的约束。
12.根据方面11所述的方法,其中所述设计变量中的至少一些设计变量是在代表所述光刻投影设备的硬件实施中的物理限制的约束下。
13.根据方面12所述的方法,其中所述约束包括选自下列中的一个或更多个:调谐范围,决定着图案形成装置可制造性的规则,和/或所述设计变量之间的相互依赖性。
14.根据方面12所述的方法,其中所述约束包括所述光刻投影设备的生产率。
15.根据方面7所述的方法,其中所述成本函数是下列光刻度量中的一个或更多个度量的函数:边缘定位误差、临界尺寸、抗蚀剂轮廓距离、最严重缺陷大小,和/或最佳聚焦偏移量。
16.根据方面7所述的方法,其中所述成本函数通过一种选自由高斯-牛顿(Gauss-Newton)算法、雷文博格-马括特(Levenberg-Marquardt)算法、梯度下降算法、模拟退火及遗传算法构成的组的方法而最小化。
17.根据方面7至16中任一项所述的方法,其中所述随机变化包括线边缘粗糙度(LER),和/或线宽粗糙度(LWR)。
18.根据方面1至17中任一项所述的方法,其中所述随机效应是由以下各项造成:所述衬底的抗蚀剂中的光子散粒噪声、光子生成的次级电子、光子生成的酸;所述衬底的抗蚀剂中的光子可激活或电子可激活粒子的分布;所述衬底的抗蚀剂中的光子可激活或电子可激活粒子的密度,或它们的组合。
19.一种计算机程序产品,该计算机程序产品包括:在其上记录了指令的计算机可读介质,所述指令在被计算机执行时实施根据上述方面中任一项所述的方法。
20.一种非暂时性计算机可读介质,其具有处于多种状况和处于所述设计变量的多种值的随机变化的值。
21.根据方面6所述的方法,其中增加生产率包括降低剂量。
22.根据方面21所述的方法,其中降低剂量包括使用掩模偏差。
23.根据方面7所述的方法,还包括计算出在生产率与光瞳填充因数、抗蚀剂化学反应及掩模偏差之间的关系。
24.根据方面7所述的方法,还包括计算出在随机效应的量度与光曈填充因子、抗蚀剂化学反应及掩模偏差之间的关系。
25.根据方面1所述的方法,其中所述空间图像和/或抗蚀剂图像是经模拟的图像。
26.一种计算机执行方法,用于改善使用光刻投影设备将设计布局的一部分成像在衬底上的光刻过程,所述方法包括:
针对一个或更多个设计变量的一组值中的每个值来优化所述光刻过程,所述一个或更多个设计变量是所述光刻过程的特性;
计算出经优化的光刻过程、由所述经优化的光刻过程产生的空间图像和/或由所述经优化的光刻过程产生的抗蚀剂图像中的一个或更多个特性,由此所述光刻过程的使用者能够基于其所希望的特性来选择所述设计变量的一组值。
27.根据方面26所述的方法,其中所述方法使用XML文件来实施。
上文描述是说明性的,而不是限制性的。因此,本领域技术人员应当清楚可以在不背离所附的权利要求的范围的情况下如所述地做出修改。

Claims (15)

1.一种确定空间图像或抗蚀剂图像的特性的随机变化与一个或更多个设计变量之间的关系的方法,所述方法包括:
针对所述设计变量的多组值中的每组值从多个空间图像和/或抗蚀剂图像测量出所述特性的值;
针对所述设计变量的多组值中的每组值,从针对设计变量的该组值的所述特性的值的分布确定所述随机变化的值;以及
由计算机通过从所述随机变化的值以及所述设计变量的多组值拟合一个或更多个参数来确定所述关系。
2.根据权利要求1所述的方法,其中所述随机变化包括LER、LWR、LCDU、孔LCDU、CER、或它们的组合。
3.根据权利要求1所述的方法,其中所述设计变量包括模糊图像对数斜率(ILS)、模糊图像强度、图像强度、全局偏差、掩模固定偏差及剂量。
4.根据权利要求1所述的方法,还包括辨识所述空间图像或抗蚀剂图像上的热点。
5.根据权利要求1所述的方法,还包括使用所述关系来确定剂量。
6.根据权利要求1所述的方法,还包括使用所述关系来增加生产率。
7.根据权利要求6所述的方法,其中增加生产率包括降低剂量。
8.根据权利要求7所述的方法,其中降低剂量包括使用掩模偏差。
9.根据权利要求1所述的方法,其中所述空间图像和/或抗蚀剂图像是经模拟的图像。
10.一种计算机执行方法,用于改善使用光刻投影设备将设计布局的一部分成像在衬底上的光刻过程,所述方法包括:
限定多变量成本函数,所述多变量成本函数是空间图像或抗蚀剂图像的特性的随机变化的函数,所述随机变化是作为所述光刻过程的特性的多个设计变量的函数;
使用通过使用根据权利要求1所述的方法所确定的所述关系来计算所述随机变化;和
通过调节所述一个或更多个设计变量直至满足一定终止条件为止,来重新配置所述光刻过程的一个或更多个特性。
11.根据权利要求10所述的方法,其中迭代重新配置包括对所述设计变量中至少一些设计变量的范围加以规定的约束。
12.根据权利要求10所述的方法,其中所述成本函数是下列光刻度量中的一个或更多个度量的函数:边缘定位误差、临界尺寸、抗蚀剂轮廓距离、最严重缺陷大小,和/或最佳聚焦偏移。
13.根据权利要求10所述的方法,其中所述随机变化包括线边缘粗糙度(LER),和/或线宽粗糙度(LWR)
14.根据权利要求1所述的方法,其中所述随机效应由以下各项造成:所述衬底的抗蚀剂中的光子散粒噪声、光子生成的次级电子、光子生成的酸;所述衬底的抗蚀剂中的光子可激活或电子可激活粒子的分布;所述衬底的抗蚀剂中的光子可激活或电子可激活粒子的密度,或它们的组合。
15.一种计算机程序产品,该计算机程序产品包括:在其上记录了指令的计算机可读介质,所述指令在被计算机执行时实施根据权利要求1所述的方法。
CN201580007982.XA 2014-02-11 2015-02-04 用于计算任意图案的随机变化的模型 Active CN105992975B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461938554P 2014-02-11 2014-02-11
US61/938,554 2014-02-11
PCT/EP2015/052306 WO2015121127A1 (en) 2014-02-11 2015-02-04 Model for calculating a stochastic variation in an arbitrary pattern

Publications (2)

Publication Number Publication Date
CN105992975A true CN105992975A (zh) 2016-10-05
CN105992975B CN105992975B (zh) 2018-06-01

Family

ID=52444316

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580007982.XA Active CN105992975B (zh) 2014-02-11 2015-02-04 用于计算任意图案的随机变化的模型

Country Status (8)

Country Link
US (3) US10545411B2 (zh)
EP (1) EP3105637A1 (zh)
JP (1) JP6346297B2 (zh)
KR (1) KR102053152B1 (zh)
CN (1) CN105992975B (zh)
SG (1) SG11201606179QA (zh)
TW (1) TWI564674B (zh)
WO (1) WO2015121127A1 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107430347A (zh) * 2015-02-13 2017-12-01 Asml荷兰有限公司 图像对数斜率(ils)优化
CN109581821A (zh) * 2017-09-29 2019-04-05 台湾积体电路制造股份有限公司 极紫外光微影设备、工艺控制方法及评估焦距控制的方法
CN109891319A (zh) * 2016-10-24 2019-06-14 Asml荷兰有限公司 用于优化图案化装置图案的方法
CN110088687A (zh) * 2016-12-16 2019-08-02 Asml荷兰有限公司 用于图像分析的方法和设备
CN110383442A (zh) * 2017-02-28 2019-10-25 科磊股份有限公司 确定随机行为对叠加计量数据的影响
CN110765724A (zh) * 2019-10-26 2020-02-07 东方晶源微电子科技(北京)有限公司 一种掩模优化方法及电子设备
CN111125995A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 测试图案产生系统及方法
CN111149063A (zh) * 2017-09-27 2020-05-12 Asml荷兰有限公司 确定器件制造工艺的控制参数的方法
CN111460228A (zh) * 2019-01-22 2020-07-28 上海微电子装备(集团)股份有限公司 一种数据集成存储系统和光刻机系统
CN113454533A (zh) * 2019-02-25 2021-09-28 Asml荷兰有限公司 用于确定印刷图案的随机变化的方法
CN113552777A (zh) * 2017-02-24 2021-10-26 Asml荷兰有限公司 测量变化的方法、检查系统、计算机程序和计算机系统
CN113874787A (zh) * 2019-05-21 2021-12-31 Asml荷兰有限公司 用于确定与期望图案相关联的随机变化的方法
CN114415478A (zh) * 2017-01-26 2022-04-29 Asml荷兰有限公司 微调过程模型的方法

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10074036B2 (en) * 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
US10635761B2 (en) * 2015-04-29 2020-04-28 Energid Technologies Corporation System and method for evaluation of object autonomy
WO2017050503A1 (en) * 2015-09-22 2017-03-30 Asml Netherlands B.V. A method and apparatus for determining at least one property of patterning device marker features
KR20180115299A (ko) 2016-02-22 2018-10-22 에이에스엠엘 네델란즈 비.브이. 계측 데이터에 대한 기여도들의 분리
EP3291007A1 (en) * 2016-08-30 2018-03-07 ASML Netherlands B.V. Patterning stack optimization
JP6722291B2 (ja) * 2016-09-23 2020-07-15 富士フイルム株式会社 導電性フィルム、タッチパネル、フォトマスク、インプリントテンプレート、導電性フィルム形成用積層体、導電性フィルムの製造方法、および電子デバイスの製造方法
DE102016225899A1 (de) * 2016-12-21 2018-06-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Modifizieren von Abbildungseigenschaften eines optischen Systems für die Mikrolithographie
US10474042B2 (en) * 2017-03-22 2019-11-12 Kla-Tencor Corporation Stochastically-aware metrology and fabrication
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
US11276160B2 (en) * 2017-10-02 2022-03-15 Applied Materials Israel Ltd. Determining a critical dimension variation of a pattern
WO2019121486A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Process window based on defect probability
WO2019162203A1 (en) 2018-02-22 2019-08-29 Asml Netherlands B.V. Method for determining a corrected dimensional parameter value relating to a feature formed by a lithographic process and associated apparatuses
US10657420B2 (en) 2018-07-17 2020-05-19 International Business Machines Corporation Modeling post-lithography stochastic critical dimension variation with multi-task neural networks
US10818001B2 (en) * 2018-09-07 2020-10-27 Kla-Tencor Corporation Using stochastic failure metrics in semiconductor manufacturing
US11354484B2 (en) 2018-11-08 2022-06-07 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
CN112889140B (zh) * 2018-11-12 2023-06-02 株式会社日立高新技术 推定缺陷的发生的系统以及计算机可读介质
US11860548B2 (en) * 2019-02-20 2024-01-02 Asml Netherlands B.V. Method for characterizing a manufacturing process of semiconductor devices
US11061373B1 (en) 2019-08-20 2021-07-13 Siemens Industry Software Inc. Method and system for calculating probability of success or failure for a lithographic process due to stochastic variations of the lithographic process
JP7443501B2 (ja) * 2019-09-25 2024-03-05 シノプシス, インコーポレイテッド 欠陥確率分布および限界寸法変動に基づくリソグラフィ改良
CN111275695B (zh) * 2020-02-10 2023-06-02 上海集成电路研发中心有限公司 一种半导体器件的缺陷检查方法、装置和可读存储介质
US11900042B2 (en) * 2020-11-12 2024-02-13 Synopsys, Inc. Stochastic-aware lithographic models for mask synthesis
KR20220149823A (ko) * 2021-04-30 2022-11-09 삼성전자주식회사 멀티-스케일 시뮬레이션을 이용한 리소그라피 방법, 및 그 리소그라피 방법을 기반으로 한 반도체 소자 제조방법 및 노광 설비
WO2023039186A1 (en) * 2021-09-10 2023-03-16 Fractilia, Llc Detection of probabilistic process windows
WO2023110347A1 (en) 2021-12-16 2023-06-22 Asml Netherlands B.V. Systems and methods for optimizing lithographic design variables using image-based failure rate model
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
KR20230167934A (ko) * 2022-06-03 2023-12-12 삼성전자주식회사 극자외선 노광 장치 및 그것의 동작 방법
US11966156B2 (en) * 2022-08-16 2024-04-23 Kla Corporation Lithography mask repair by simulation of photoresist thickness evolution
WO2024046691A1 (en) 2022-09-02 2024-03-07 Asml Netherlands B.V. Method for configuring a field of view of an inspection apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120052418A1 (en) * 2010-08-31 2012-03-01 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
US20130179847A1 (en) * 2012-01-10 2013-07-11 Asml Netherlands B.V. Source Mask Optimization to Reduce Stochastic Effects

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
EP0527166B1 (de) 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP1197801B1 (en) 1996-12-24 2005-12-28 ASML Netherlands B.V. Lithographic device with two object holders
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7251807B2 (en) * 2005-02-24 2007-07-31 Synopsys, Inc. Method and apparatus for identifying a manufacturing problem area in a layout using a process-sensitivity model
US7721246B2 (en) * 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
JP4690946B2 (ja) 2006-06-02 2011-06-01 株式会社東芝 シミュレーションモデルの作成方法、プログラム及び半導体装置の製造方法
JP4700672B2 (ja) * 2006-11-08 2011-06-15 エーエスエムエル マスクツールズ ビー.ブイ. ライン幅粗さおよびレジストパターン不良を予測する方法、プログラム、および装置、ならびにそのリソグラフィシミュレーションプロセスでの使用
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP2010034402A (ja) 2008-07-30 2010-02-12 Toshiba Corp パターン形状予測方法
KR101766734B1 (ko) 2008-11-21 2017-08-16 에이에스엠엘 네델란즈 비.브이. 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
WO2013158574A1 (en) * 2012-04-18 2013-10-24 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120052418A1 (en) * 2010-08-31 2012-03-01 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
US20130179847A1 (en) * 2012-01-10 2013-07-11 Asml Netherlands B.V. Source Mask Optimization to Reduce Stochastic Effects

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
K C. HICKMAN,ET AL.: "Use of diffracted light from latent images to improve Uthography control", 《JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B》 *

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107430347A (zh) * 2015-02-13 2017-12-01 Asml荷兰有限公司 图像对数斜率(ils)优化
CN107430347B (zh) * 2015-02-13 2020-04-28 Asml荷兰有限公司 图像对数斜率(ils)优化
US10394131B2 (en) 2015-02-13 2019-08-27 Asml Netherlands B.V. Image log slope (ILS) optimization
US11681849B2 (en) 2016-10-24 2023-06-20 Asml Netherlands B.V. Method for optimizing a patterning device pattern
CN109891319A (zh) * 2016-10-24 2019-06-14 Asml荷兰有限公司 用于优化图案化装置图案的方法
CN109891319B (zh) * 2016-10-24 2023-11-10 Asml荷兰有限公司 用于优化图案化装置图案的方法
CN110088687A (zh) * 2016-12-16 2019-08-02 Asml荷兰有限公司 用于图像分析的方法和设备
CN114415478A (zh) * 2017-01-26 2022-04-29 Asml荷兰有限公司 微调过程模型的方法
CN113552777B (zh) * 2017-02-24 2024-04-19 Asml荷兰有限公司 测量变化的方法、检查系统、计算机程序和计算机系统
CN113552777A (zh) * 2017-02-24 2021-10-26 Asml荷兰有限公司 测量变化的方法、检查系统、计算机程序和计算机系统
CN110383442B (zh) * 2017-02-28 2023-10-10 科磊股份有限公司 确定随机行为对叠加计量数据的影响
CN110383442A (zh) * 2017-02-28 2019-10-25 科磊股份有限公司 确定随机行为对叠加计量数据的影响
US11768442B2 (en) 2017-09-27 2023-09-26 Asml Netherlands B.V. Method of determining control parameters of a device manufacturing process
CN111149063B (zh) * 2017-09-27 2022-04-22 Asml荷兰有限公司 确定器件制造工艺的控制参数的方法
US11513442B2 (en) 2017-09-27 2022-11-29 Asml Netherlands B.V. Method of determining control parameters of a device manufacturing process
CN111149063A (zh) * 2017-09-27 2020-05-12 Asml荷兰有限公司 确定器件制造工艺的控制参数的方法
US11022898B2 (en) 2017-09-29 2021-06-01 Taiwan Semiconductor Manufacturing Co, , Ltd. Apparatus for EUV lithography and method of measuring focus
CN109581821B (zh) * 2017-09-29 2021-04-09 台湾积体电路制造股份有限公司 极紫外光微影设备、工艺控制方法及评估焦距控制的方法
CN109581821A (zh) * 2017-09-29 2019-04-05 台湾积体电路制造股份有限公司 极紫外光微影设备、工艺控制方法及评估焦距控制的方法
CN111125995A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 测试图案产生系统及方法
CN111125995B (zh) * 2018-10-31 2023-10-17 台湾积体电路制造股份有限公司 测试图案产生系统及方法
CN111460228A (zh) * 2019-01-22 2020-07-28 上海微电子装备(集团)股份有限公司 一种数据集成存储系统和光刻机系统
CN111460228B (zh) * 2019-01-22 2024-02-06 上海微电子装备(集团)股份有限公司 一种数据集成存储系统和光刻机系统
CN113454533A (zh) * 2019-02-25 2021-09-28 Asml荷兰有限公司 用于确定印刷图案的随机变化的方法
CN113874787A (zh) * 2019-05-21 2021-12-31 Asml荷兰有限公司 用于确定与期望图案相关联的随机变化的方法
CN113874787B (zh) * 2019-05-21 2024-04-16 Asml荷兰有限公司 用于确定与期望图案相关联的随机变化的方法
CN110765724A (zh) * 2019-10-26 2020-02-07 东方晶源微电子科技(北京)有限公司 一种掩模优化方法及电子设备

Also Published As

Publication number Publication date
KR102053152B1 (ko) 2019-12-06
SG11201606179QA (en) 2016-08-30
US20170010538A1 (en) 2017-01-12
US20210405538A1 (en) 2021-12-30
CN105992975B (zh) 2018-06-01
KR20160122216A (ko) 2016-10-21
TW201543172A (zh) 2015-11-16
JP2017505462A (ja) 2017-02-16
US11835862B2 (en) 2023-12-05
TWI564674B (zh) 2017-01-01
WO2015121127A1 (en) 2015-08-20
US11126090B2 (en) 2021-09-21
EP3105637A1 (en) 2016-12-21
US10545411B2 (en) 2020-01-28
JP6346297B2 (ja) 2018-06-20
US20200159125A1 (en) 2020-05-21

Similar Documents

Publication Publication Date Title
CN105992975B (zh) 用于计算任意图案的随机变化的模型
CN106164777B (zh) 光刻过程的优化流程
CN112384860B (zh) 基于机器学习的逆光学邻近效应校正和过程模型校准
CN105008997B (zh) 离散源掩模优化
CN107430347B (zh) 图像对数斜率(ils)优化
US11768442B2 (en) Method of determining control parameters of a device manufacturing process
CN107430351B (zh) 用于确定抗蚀剂变形的方法
US20210407112A1 (en) Method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
CN114981724A (zh) 用于改善掩模图案生成一致性的方法
US20230023153A1 (en) Method for determining a field-of-view setting
EP3462240A1 (en) Method of determining control parameters of a device manufacturing process
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
EP3531206A1 (en) Systems and methods for improving resist model predictions
EP3822703A1 (en) Method for determining a field-of-view setting
NL2025146A (en) A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant