CN105702666B - 多重密封环结构 - Google Patents

多重密封环结构 Download PDF

Info

Publication number
CN105702666B
CN105702666B CN201610069848.9A CN201610069848A CN105702666B CN 105702666 B CN105702666 B CN 105702666B CN 201610069848 A CN201610069848 A CN 201610069848A CN 105702666 B CN105702666 B CN 105702666B
Authority
CN
China
Prior art keywords
seal ring
ring structure
region
semiconductor device
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610069848.9A
Other languages
English (en)
Other versions
CN105702666A (zh
Inventor
杨敦年
刘人诚
林政贤
王文德
蔡纾婷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN105702666A publication Critical patent/CN105702666A/zh
Application granted granted Critical
Publication of CN105702666B publication Critical patent/CN105702666B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Abstract

本发明提供了一种制造半导体器件的方法,所述方法包括提供具有密封环区域和电路区域的基板,形成在密封环区域上方的第一密封环结构,所述第一密封环结构围绕所述电路区域呈封闭环,且具有包含金属层的外部部分(210a)和包含金属层的内部部分(210b),形成在密封环区域上方并且邻近第一密封环结构的第二密封环结构,所述第二密封环结构围绕所述电路区域呈封闭环,且具有包含金属层的外部部分(220a)和包含金属层的内部部分(220b),和形成在第一密封环结构和第二密封环结构上的第一钝化层。也提供了一种通过这种方法制造的多重密封环结构的半导体器件。

Description

多重密封环结构
优先权日
本申请要求2010年8月13日提交的美国专利申请序列号61/373,679号的优先权,其全部内容通过引用结合到本文中作为参考。
技术领域
本发明提供了一种制造半导体器件的方法,也提供了一种通过这种方法制造的半导体器件。
背景技术
在半导体集成电路(ICs)的设计和封装中,有许多关注领域。需要防止水汽进入电路,因为:(1)水汽可能被困入到氧化物中从而增加其介电常数;(2)水汽可能在栅极氧化物中产生陷阱电荷中心从而导致互补-金属-氧化物半导体(CMOS)晶体管中的阈值电压漂移;(3)水汽可能在Si-栅极氧化物界面中产生界面态从而通过增加的热电子敏感性导致晶体管寿命的下降;(4)水汽可能导致金属互连的腐蚀,降低IC的可靠性;和(5)当被Si-氧化物捕集时,水汽可能降低氧化物的机械强度,因此在拉伸应力下氧化物可能变得更容易断裂。离子污染物也可能导致IC的损坏,因为它们可以迅速地扩散在氧化硅中。例如,离子污染物可以导致CMOS晶体管中的阈值电压不稳定以及改变离子污染物附近的Si表面的表面电势。使相邻的IC管芯互相分离的切割工艺也可能导致IC的潜在损伤。
在工业中使用密封圈以使IC避免水汽损害、离子污染和切割工艺,但是仍需要改进。特别地,使用机械管芯切割的切割工艺可能由于管芯切割的切削力导致层的脱落。尤其是具有层间金属或层间介电膜(介电常数(low-k)低)的背部照明器件更容易管芯切割脱落。因此,需要半导体器件制造的改进方法和通过这个方法制造的器件。
发明内容
针对现有技术中的问题,本发明提供了一种半导体器件,包括:
基板,具有密封环区域和电路区域;
第一密封环结构,位于所述密封环区域上方;
第二密封环结构,位于所述密封环区域上方并且邻近所述第一密封环结构;和
第一钝化层,位于所述第一密封环结构和所述第二密封环结构上方。
根据本发明所述的半导体器件,其中每个所述第一密封环结构和所述第二密封环结构都由围绕所述电路区域布置的金属层堆叠组成。
根据本发明所述的半导体器件,其中每个所述第一密封环结构和所述第二密封环结构都具有线形金属层。
根据本发明所述的半导体器件,其中所述第二密封环结构与所述第一密封环结构同心。
根据本发明所述的半导体器件,其中所述第一密封环结构邻近所述电路区域并且所述第二密封环结构邻近划线。
根据本发明所述的半导体器件,其中每个所述第一密封环结构和所述第二密封环结构都具有多个线形金属层。
根据本发明所述的半导体器件,其中所述第一钝化层由氧化硅组成。
根据本发明所述的半导体器件,还包括第二钝化层,位于所述第一密封环结构和所述第二密封环结构的下方。
根据本发明所述的半导体器件,还包括第三密封环结构,位于所述第一密封环结构和所述第二密封环结构之间,所述第三密封环结构是三角形。
根据本发明所述的一种半导体器件,包括:
基板,具有密封环区域和电路区域;
第一密封环结构,位于所述密封环区域上方邻近所述电路区域,所述第一密封环结构具有多个线形金属层;
第二密封环结构,位于所述密封环区域上方邻近划线和所述第一密封环结构,所述第二密封环结构具有多个线形金属层;
第三密封环结构,位于所述密封环区域上方并且位于拐角部分的所述第一密封环结构和所述第二密封环结构之间,所述第三密封环结构具有多个三角形的金属层;和
第一钝化层,位于所述第一密封环结构、所述第二密封环结构、和所述第三密封环结构上方。
根据本发明所述的半导体器件,其中每个所述第一密封环结构、所述第二密封环结构、和所述第三密封环结构都由围绕所述电路区域的金属层堆叠组成。
根据本发明所述的半导体器件,其中所述第二密封环结构和所述第三密封环结构具有互相平行的线形腿。
根据本发明所述的半导体器件,其中所述第二密封环结构与所述第一密封环结构同心。
根据本发明所述的半导体器件,其中所述第一钝化层由氧化硅组成。
根据本发明所述的半导体器件,还包括第二钝化层,位于所述第一密封环结构和所述第二密封环结构的下方。
根据本发明所述的一种制造半导体器件的方法,所述方法包括:
提供具有密封环区域和电路区域的基板;
形成在所述密封环区域上方的第一密封环结构;
形成在所述密封环区域上方并且邻近所述第一密封环结构的第二密封环结构;和
形成在所述第一密封环结构和所述第二密封环结构上方的第一钝化层。
根据本发明所述的方法,其中每个所述第一密封环结构和所述第二密封环结构都形成为具有多个线形金属层。
根据本发明所述的方法,其中所述第二密封环结构形成为与所述第一密封环结构同心。
根据本发明所述的方法,其中所述第一密封环结构形成为邻近所述电路区域并且所述第二密封环结构形成为邻近划线。
根据本发明所述的方法,还包括形成位于所述第一密封环结构和所述第二密封环结构之间的第三密封环结构,所述第三密封环结构是三角形。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制并且仅仅用于说明的目的。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增加或减少。
图1是根据本发明的各个方面,示出制造带有多重密封圈结构的半导体器件的方法的流程图,所述多重密封圈结构用于防止管芯切割脱落。
图2是根据本发明的各个方面,示出带有密封圈结构的集成电路(IC)管芯的俯视图。
图3A是根据本发明的各个方面的,沿着图2中的线A-A’的横截面视图。
图3B和3C是根据本发明的各个方面的,图2中B部分和C部分的特写顶视图,示出了密封环结构的实施例。
图4是根据本发明的各个方面的,示出受阻的管芯切割效应的器件的实施例的横截面视图。
图5是示出以前的半导体器件上的管芯切割效益的以前的密封环结构的横截面视图。
具体实施方式
据了解为了实施本发明的不同部件,以下公开提供了许多不同的实施例或示例。以下描述元件和布置的特定示例以简化本发明。当然这些仅仅是示例并不打算限定。再者,以下描述中第一部件形成在第二部件上可包括其中第一和第二部件以直接接触形成的实施例,并且也可包括其中其他的部件形成插入到第一和第二部件中的实施例,使得第一和第二部件不直接接触。为了简明和清楚,可以任意地以不同的尺寸绘制各种部件。
参考附图,图1根据本发明的各个方面,示出了制造带有多重密封环结构的半导体器件的方法100的流程图,所述多重密封环结构用于防止或阻挡器件上的管芯切割脱落效应。图2是根据本发明的各个方面的,包括集成电路(IC)管芯和围绕IC管芯的密封环结构的半导体器件的俯视图。图3A是根据本发明的各个方面的,多重密封环结构的实施例的横截面视图,图3B和图3C是根据本发明的各个方面的,密封环结构的实施例的特写顶视图(不包含覆盖的钝化层和载具晶圆)。图4是根据本发明的各个方面的,图3的密封环结构的实施例的横截面视图,示出了半导体器件上受阻的管芯切割效应。相反地,图5是示出以前的密封环结构的横截面视图,示出了器件上的管芯切割脱落效应。
可以注意到,为了简洁和清楚,相同的部件可以被相同地标号。还可以注意到,可以使用CMOS工艺流程制造一部分半导体器件200。因此,可以认为,在图1的方法100之前、中间和之后可以提供其他的工艺,而且本文可以只简要地描述一些其它工艺。半导体器件200可以被制造成包括含有层间介质(ILD)如低-k金属间介质(IMD)的背部照明(BSI)器件。
现参考图1,方法100开始于方框102,其中提供了具有密封环区域和电路区域的半导体基板。在实施例中,密封环区域围绕电路区域形成,并且密封环区域是用于在其上形成密封环结构,而电路区域是用于在其中至少形成晶体管器件。方法100继续到方框104,其中集成电路形成在电路区域上方,然后继续到方框106,其中第一密封环结构形成在密封环区域上方。方法100继续到方框108,其中第二密封环结构形成在密封环区域上方并且邻近第一密封环结构,然后继续到方框110,其中第一钝化层形成在第一和第二密封环结构上方。也可以在方法100的步骤之前、中间或之后提供其它层、线、通孔和结构,如位于通过方法100形成的结构的上方或下方的其他的钝化层,和与第一钝化层接合的载具晶圆。有利地,由于多重密封环结构相邻地形成在密封环区域中,因此阻挡了管芯切割脱落效应影响密封环结构的内部部分,从而也保护了内部集成电路。也就是说,在一个实例中,双重密封环可以防止脱落效应渗透到内部密封环中,本文将进一步解释。
现参考图2,根据本发明的各个方面,示出了器件200的俯视图,包括集成电路(IC)管芯202,围绕IC管芯202的多个同心的密封环结构210和220,和处于中间的组装隔离区域204。图3A示出了密封环区域沿着线A-A’的横截面视图,而图3B和图3C分别示出了B部分和C部分的特写俯视图,表示多重密封环结构的实施例。
现结合图2参考图3A,示出了根据图1的方法100制造半导体器件200的实施例的横截面视图。半导体器件200可以包括半导体基板230,如硅基板(如p掺杂基板),其具有密封环区域210和围绕电路区域中IC管芯202的组装隔离区域204。在实施例中,密封环区域201围绕电路区域形成,并且密封环区域用于在其上形成多重密封环结构,电路区域用于在其中至少形成晶体管。基板230可以可选地包括硅锗、砷化镓、或其它合适的半导体材料。基板230可以还包括掺杂的区域,如P-阱、N-阱、和/或掺杂的有源区域如P+掺杂的有源区域。一方面,掺杂的有源区域可以位于其它区域中。基板230还可以包括其它部件,如埋氧层、和/或外延层。另外,基板230可以是绝缘体上半导体,如绝缘体上硅(SOI)。在其它实施例中,半导体基板230可以包括掺杂的外延层、梯度半导体层,和/或还可以包括覆盖在其它不同类型的半导体层上的半导体层,如硅层在硅锗层上。在其它实例中,化合物半导体基板可以包括多层硅结构或硅基板可以包括多层化合物半导体结构。
器件200可以还包括隔离结构,如形成在基板230中的浅沟槽隔离(STI)部件或LOCOS部件,用于隔离有源区域和基板的其它区域。在一个实例中,可以将有源区域配置成NMOS器件(如nFET)或PMOS器件(如pFET)。
器件200可以还包括覆盖在基板230上的假栅极和/或栅极结构(未示出),其可以由各种金属层和通过各种对器件200的各个区域的蚀刻/图案化技术形成。
器件200还包括接触条218以电连接有源区域和随后形成的密封环结构210和220。可以注意到,可以在密封环区域中提供其它层以形成各种位于密封环结构上方和/或下方的部件,如通过CVD、旋压技术等等沉积的钝化层、氮化物层、和聚酰亚胺层。半导体基板230可以还包括在先前工艺步骤中或在随后工艺步骤中形成的底层、覆层、器件、结、和其它部件。
器件200包括在密封环区域201中位于基板230上方的密封环结构210和220。在一个实施例中,密封环结构210和220互相同心并且可以同时或轮流形成。密封环结构210和220的每一个都可以由各种堆叠的导电层212和分布在介电层216中的通孔层214形成。密封环结构210包括外部部分210a和内部部分210b,而密封环结构220包括外部部分220a和内部部分220b。外部部分220a邻近芯片边缘和划线,而内部部分210b邻近组装隔离204和电路区域。外部部分220a包括顶部金属层212a,内部部分220b包括顶部金属层212b,外部部分210a包括顶部金属层212c,以及内部部分210b包括顶部金属层212d。
第一钝化层或前部钝化层222位于密封环结构210和220的上方。在一个实施例中,可以通过高纵横比工艺(HARP)和/或高密度等离子体(HDP)CVD工艺沉积第一钝化层或前部钝化层222。在一个实施例中,第一钝化层或前部钝化层222包括电介质并且其为氧化物。
在一些实施例中,第二或多重前部钝化层(未示出)可以位于第一钝化层222上方。然后可以晶圆接合载具晶圆220和第一钝化层222。
在一个实施例中,基板230可以包括下层的第二钝化层或第一背部钝化层以作为密封圈区域的底层。在一个实例中,可以通过高纵横比工艺(HARP)和/或高密度等离子体(HDP)CVD工艺沉积氧掺杂或沉积钝化层从而形成第二钝化层或第一背部钝化层。在一个实例中,第二钝化层或第一背部钝化层包括电介质(ILD或IMD)而且其为氧化物。另外,在一个实例中,在形成第二钝化层之前,可以通过蚀刻来减薄基板230。
背部钝化层232可以位于基板230上。在一个实例中,背部钝化层232可以由氧化硅和/或氮化硅组成。各种钝化层可以经历图案化和蚀刻步骤从而形成所需的结构外观。
可以理解,半导体器件200可以经历电路区域中的进一步加工工艺以形成各种部件如接触/通孔、互连金属层、层间介质、钝化层等等,从而形成本领域公知的半导体电路。还可以理解,在一些实施例中,半导体器件200可以只包括前部金属焊盘或背部金属焊盘而不是两个金属焊盘都包括。
现参考图3B和3C,示出了图2中B部分和C部分的顶视图,其示出不具有载具晶圆220和前部钝化层222的密封环结构和器件200的实施例。部分B的顶视图示出了多重密封环结构的拐角部分,而部分C的顶视图示出了多重密封环结构的直线边部分。顶视图示出了密封环结构的金属层212,因此这些视图不具有覆盖的钝化层和载具晶圆。
在图3B示出的实施例中,外部密封圈结构220具有包含金属层212a的外部部分220a和包含金属层212b的内部部分220b。外部密封圈结构220具有线形部分并且在芯片的拐角处形成直角。内部密封圈结构210具有包含金属层212c的外部部分210a和包含金属层212d的内部部分210b。多重密封环结构的拐角处还包括位于密封环结构210和220之间的三角形内部密封环结构230。在一个实施例中,内部密封环结构230成形为等腰三角形,其中三角形的边与外部密封环结构220的边平行,而且三角形的斜边邻近内部密封环结构210。在其它实施例中,可以使用其它三角形形状。除了三角形形状,内部密封环结构230的结构可以在其它方面基本相似,其结构包括各种堆叠的导电层和分布在介电层中的通孔层。内部密封环结构210也具有包含金属层212d的内部部分210b。内部密封环结构210具有与外部密封环结构220的直线边和三角形内部密封环结构230的斜边平行的线形部分。其它金属层形状和几何形状在本发明的范围内。因此,图3B所示的实施例示出了至少在几何形状方面不同的密封环结构210和220。在一个实施例中,可以将外部密封环结构220称为“A-型”密封环,而可以将内部密封环结构210和230称为“B-型”密封环。有利地,这种A型和B-型密封环的组合在芯片的拐角处增加了对层脱落的防范,以前,所述芯片的拐角更容易遭受机械切割工艺引起的层脱落。
在图3C示出的实施例中,部分C示出了芯片的直线边,并且密封环结构210和220具有以基本相似的几何形状成形的金属层。在这个实施例中,密封环结构210和220都是“A-型”密封环并且具有线形的金属层。
现参考图4和图5,图4是根据本发明的各个方面的,图3A的密封环结构的实施例的横截面视图,其示出了半导体器件200上受阻的管芯切割效应,相反,图5是以前的密封环结构的横截面视图,其示出了器件上的管芯切割脱落效应。管芯切割效应,如锯齿线240a和240b所示,被限制在外部密封圈结构(如椭圆形区域260所示)和被多重内部密封环结构阻挡跨越到内部结构,从而保护内部密封环结构210免受管芯切割脱落效应(如方框区域250所示)和从而保护内部电路器件免受层脱落。
相反地,图5示出锯齿线340a和340b表示的管芯切割脱落效应跨越到密封圈结构的内部部分,因为缺乏多重内部密封环结构。尤其地,图5示出340a和340b表示的管芯切割脱落效应沿着钝化层222和230的界面,而图4示出管芯切割脱落效应240a和240b被多重内部密封环结构阻挡。在一个实施例中,根据本发明的各个方面,可以使用金刚石切割器而不是激光沿着具有多重密封环结构的器件的划线切割,从而降低生产成本。
本发明提供了许多不同的实施例。本发明的宽泛形式之一是包括一种半导体器件。半导体器件包括具有密封环区域和电路区域的基板,位于密封环区域上方的第一密封环结构,位于密封环区域上方并且邻近第一密封环结构的第二密封环结构,和位于第一密封环结构和第二密封环结构上方的第一钝化层。
本发明的另一个宽泛形式是包括一种半导体基板,其包括具有密封环区域和电路区域的基板,和位于密封环区域上方邻近电路区域的第一密封环结构,具有多个三角形形状金属层的第一密封环结构。器件还包括位于密封环区域上方邻近划线和第一密封环结构的第二密封环结构。第一钝化层位于第一密封环结构和第二密封环结构的上方。
本发明的又一个宽泛形式是包括一种制造半导体器件的方法。方法包括提供一种具有密封环区域和电路区域的基板,在密封环区域上方形成第一密封环结构,在密封环区域上方和邻近第一密封环结构形成第二密封环结构,和在第一密封环结构和第二密封环结构上方形成第一钝化层。
上面论述了若干实施例的部件,使得本领域普通技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与这里所介绍实施例相同的目的和/或实现相同优点的处理和结构。本领域普通技术人员也应该意识到,这种等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (11)

1.一种半导体器件,包括:
基板,具有密封环区域和电路区域;
围绕所述电路区域呈封闭环的第一密封环结构,位于所述密封环区域上方,具有包含金属层的外部部分(210a)和包含金属层的内部部分(210b),其中,第一密封环结构的外部部分(210a)和第一密封环结构的内部部分(210b)构成间隔设置的双层环结构;
围绕所述电路区域呈封闭环的第二密封环结构,位于所述密封环区域上方并且邻近所述第一密封环结构,具有包含金属层的外部部分(220a)和包含金属层的内部部分(220b),其中,第二密封环结构的外部部分(220a)和第二密封环结构的内部部分(220b)构成间隔设置的双层环结构,每个所述第一密封环结构和所述第二密封环结构都由围绕所述电路区域布置的金属层以及分布在介电层(216)中的通孔层(214)堆叠组成;
第一钝化层,位于所述第一密封环结构和所述第二密封环结构上方;和
三角形内部密封环结构(230),位于第二密封环结构的内部部分(220b)和第一密封环结构的外部部分(210a)之间,并且所述第一密封环结构和所述第二密封环结构分别位于所述三角形内部密封环结构(230)的内侧和外侧,所述三角形内部密封环结构(230)与所述第一密封环结构的外部部分(210a)一起构成围绕所述第一密封环结构的内部部分(210b)的封闭环,其中,所述第一密封环结构具有与所述第二密封环结构的直角边和所述三角形内部密封环结构的斜边平行的线性部分;
其中,所述第二密封环结构临近划线,并且所述划线与所述第二密封环结构之间的区域完全被所述介电层填充。
2.根据权利要求1所述的半导体器件,其中,每个所述第一密封环结构和所述第二密封环结构都具有线形金属层。
3.根据权利要求1所述的半导体器件,其中,所述第二密封环结构与所述第一密封环结构同心。
4.根据权利要求1所述的半导体器件,其中,所述第一密封环结构邻近所述电路区域并且所述第二密封环结构邻近所述划线。
5.根据权利要求1所述的半导体器件,其中,每个所述第一密封环结构和所述第二密封环结构都具有多个线形金属层。
6.根据权利要求1所述的半导体器件,其中,所述第一钝化层由氧化硅组成。
7.根据权利要求1所述的半导体器件,还包括第二钝化层,位于所述第一密封环结构和所述第二密封环结构的下方。
8.一种制造半导体器件的方法,所述方法包括:
提供具有密封环区域和电路区域的基板;
形成在所述密封环区域上方的第一密封环结构,所述第一密封环结构围绕所述电路区域呈封闭环,且具有包含金属层的外部部分(210a)
和包含金属层的内部部分(210b),其中,第一密封环结构的外部部分(210a)和第一密封环结构的内部部分(210b)构成间隔设置的双层环结构;
形成在所述密封环区域上方并且邻近所述第一密封环结构的第二密封环结构,所述第二密封环结构围绕所述电路区域呈封闭环,且具有包含金属层的外部部分(220a)和包含金属层的内部部分(220b),其中,第二密封环结构的外部部分(220a)和第二密封环结构的内部部分(220b)构成间隔设置的双层环结构,每个所述第一密封环结构和所述第二密封环结构都由围绕所述电路区域布置的金属层以及分布在介电层(216)中的通孔层(214)堆叠组成;和
形成在所述第一密封环结构和所述第二密封环结构上方的第一钝化层;
形成三角形内部密封环结构(230),所述三角形内部密封环结构(230)
位于第二密封环结构的内部部分(220b)和第一密封环结构的外部部分(210a)之间,并且所述第一密封环结构和所述第二密封环结构分别位于所述三角形内部密封环结构(230)的内侧和外侧,所述三角形内部密封环结构(230)与所述第一密封环结构的外部部分(210a)一起构成围绕所述第一密封环结构的内部部分(210b)的封闭环,其中,所述第一密封环结构具有与所述第二密封环结构的直角边和所述三角形内部密封环结构的斜边平行的线性部分;
其中,所述第二密封环结构临近划线,并且所述划线与所述第二密封环结构之间的区域完全被所述介电层填充。
9.根据权利要求8所述的方法,其中,每个所述第一密封环结构和所述第二密封环结构都形成为具有多个线形金属层。
10.根据权利要求8所述的方法,其中,所述第二密封环结构形成为与所述第一密封环结构同心。
11.根据权利要求8所述的方法,其中,所述第一密封环结构形成为邻近所述电路区域并且所述第二密封环结构形成为邻近所述划线。
CN201610069848.9A 2010-08-13 2011-08-10 多重密封环结构 Active CN105702666B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US37367910P 2010-08-13 2010-08-13
US61/373,679 2010-08-13
US12/938,272 2010-11-02
US12/938,272 US8338917B2 (en) 2010-08-13 2010-11-02 Multiple seal ring structure
CN2011102290452A CN102376654A (zh) 2010-08-13 2011-08-10 多重密封环结构

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2011102290452A Division CN102376654A (zh) 2010-08-13 2011-08-10 多重密封环结构

Publications (2)

Publication Number Publication Date
CN105702666A CN105702666A (zh) 2016-06-22
CN105702666B true CN105702666B (zh) 2020-01-10

Family

ID=45564222

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2011102290452A Pending CN102376654A (zh) 2010-08-13 2011-08-10 多重密封环结构
CN201610069848.9A Active CN105702666B (zh) 2010-08-13 2011-08-10 多重密封环结构

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2011102290452A Pending CN102376654A (zh) 2010-08-13 2011-08-10 多重密封环结构

Country Status (5)

Country Link
US (2) US8338917B2 (zh)
KR (1) KR101287670B1 (zh)
CN (2) CN102376654A (zh)
DE (1) DE102011080066B4 (zh)
TW (1) TWI456709B (zh)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8373243B2 (en) * 2011-01-06 2013-02-12 Omnivision Technologies, Inc. Seal ring support for backside illuminated image sensor
US8810001B2 (en) * 2011-06-13 2014-08-19 Mediatek Inc. Seal ring structure with capacitor
JP2013123000A (ja) * 2011-12-12 2013-06-20 Sony Corp 固体撮像装置およびその製造方法
JP5968711B2 (ja) * 2012-07-25 2016-08-10 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US9245842B2 (en) * 2012-11-29 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having guard ring structure and methods of manufacture thereof
US8884390B2 (en) * 2013-01-30 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside illumination image sensor chips and methods for forming the same
US9076715B2 (en) 2013-03-12 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for connecting dies and methods of forming the same
US20150187701A1 (en) 2013-03-12 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US8901714B2 (en) 2013-03-14 2014-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Transmission line formed adjacent seal ring
KR20150025802A (ko) * 2013-08-30 2015-03-11 에스케이하이닉스 주식회사 반도체 장치의 가드링 구조
CN104701271A (zh) * 2013-12-05 2015-06-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9728511B2 (en) * 2013-12-17 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor wafer and semiconductor die
US9412719B2 (en) 2013-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US10056353B2 (en) 2013-12-19 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9425150B2 (en) 2014-02-13 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-via interconnect structure and method of manufacture
US9437739B2 (en) 2014-03-06 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet seal ring
US9543257B2 (en) * 2014-05-29 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
US9455158B2 (en) 2014-05-30 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
CN105336711B (zh) 2014-06-19 2019-03-15 恩智浦美国有限公司 采用低k值介电材料的管芯边缘密封
US9449914B2 (en) 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
KR102276546B1 (ko) * 2014-12-16 2021-07-13 삼성전자주식회사 수분 방지 구조물 및/또는 가드 링, 이를 포함하는 반도체 장치 및 그 제조 방법
US10366956B2 (en) * 2015-06-10 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9524997B1 (en) * 2015-10-20 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having seal ring
KR102611982B1 (ko) * 2016-05-25 2023-12-08 삼성전자주식회사 반도체 장치
US9728474B1 (en) 2016-09-28 2017-08-08 Globalfoundries Singapore Pte. Ltd. Semiconductor chips with seal rings and electronic test structures, semiconductor wafers including the semiconductor chips, and methods for fabricating the same
CN107946246B (zh) * 2016-10-12 2020-08-25 中芯国际集成电路制造(上海)有限公司 密封环结构、半导体器件及电子装置
CN108305851B (zh) * 2016-11-02 2023-11-10 马维尔以色列(M.I.S.L.)有限公司 裸片上密封环
US9837366B1 (en) * 2016-11-28 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor structure and semiconductor manufacturing process thereof
US10453832B2 (en) * 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
CN109962039B (zh) * 2017-12-25 2021-01-26 中芯国际集成电路制造(上海)有限公司 一种半导体器件及电子装置
US10381403B1 (en) * 2018-06-21 2019-08-13 Globalfoundries Singapore Pte. Ltd. MRAM device with improved seal ring and method for producing the same
US11456247B2 (en) * 2019-06-13 2022-09-27 Nanya Technology Corporation Semiconductor device and fabrication method for the same
US11201124B2 (en) * 2019-07-29 2021-12-14 Omnivision Technologies, Inc. Semiconductor devices, semiconductor wafers, and methods of manufacturing the same
KR20210020683A (ko) * 2019-08-16 2021-02-24 삼성전자주식회사 반도체 기판 및 이의 절단 방법
US11373962B2 (en) * 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Advanced seal ring structure and method of making the same
US11728229B2 (en) 2021-03-25 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy patterns in redundant region of double seal ring
US20230026785A1 (en) * 2021-07-22 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Seal structures including passivation structures
US20230043166A1 (en) * 2021-08-05 2023-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring reinforcement

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1783494A (zh) * 2004-11-08 2006-06-07 台湾积体电路制造股份有限公司 半导体晶片
CN101000909A (zh) * 2006-01-12 2007-07-18 台湾积体电路制造股份有限公司 半导体组件、封环结构及其形成方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3887035B2 (ja) * 1995-12-28 2007-02-28 株式会社東芝 半導体装置の製造方法
US6365958B1 (en) * 1998-02-06 2002-04-02 Texas Instruments Incorporated Sacrificial structures for arresting insulator cracks in semiconductor devices
JP4088120B2 (ja) * 2002-08-12 2008-05-21 株式会社ルネサステクノロジ 半導体装置
JP4502173B2 (ja) * 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP2005129717A (ja) * 2003-10-23 2005-05-19 Renesas Technology Corp 半導体装置
TWI227936B (en) * 2004-01-14 2005-02-11 Taiwan Semiconductor Mfg Sealed ring for IC protection
JP4776195B2 (ja) * 2004-09-10 2011-09-21 ルネサスエレクトロニクス株式会社 半導体装置
JP2006210439A (ja) * 2005-01-25 2006-08-10 Nec Electronics Corp 半導体装置
US20070102791A1 (en) * 2005-11-07 2007-05-10 Ping-Chang Wu Structure of multi-layer crack stop ring and wafer having the same
US8125054B2 (en) * 2008-09-23 2012-02-28 Texas Instruments Incorporated Semiconductor device having enhanced scribe and method for fabrication

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1783494A (zh) * 2004-11-08 2006-06-07 台湾积体电路制造股份有限公司 半导体晶片
CN101000909A (zh) * 2006-01-12 2007-07-18 台湾积体电路制造股份有限公司 半导体组件、封环结构及其形成方法

Also Published As

Publication number Publication date
KR101287670B1 (ko) 2013-07-24
DE102011080066B4 (de) 2014-09-25
US8461021B2 (en) 2013-06-11
CN105702666A (zh) 2016-06-22
TW201208012A (en) 2012-02-16
US8338917B2 (en) 2012-12-25
CN102376654A (zh) 2012-03-14
TWI456709B (zh) 2014-10-11
US20130109153A1 (en) 2013-05-02
DE102011080066A1 (de) 2012-02-23
US20120038028A1 (en) 2012-02-16
KR20120016001A (ko) 2012-02-22

Similar Documents

Publication Publication Date Title
CN105702666B (zh) 多重密封环结构
US9812409B2 (en) Seal ring structure with a metal pad
CN102468247B (zh) 附着聚酰亚胺层的密封环结构
EP3324436B1 (en) An integrated circuit chip with power delivery network on the backside of the chip
US10438903B2 (en) Crack stop barrier and method of manufacturing thereof
TWI541939B (zh) 半導體元件及其製造方法
US20160064362A1 (en) Semiconductor Device Package and Methods of Packaging Thereof
US8039367B2 (en) Scribe line structure and method for dicing a wafer
US20120175728A1 (en) Seal ring structure for integrated circuit chips
US11094591B2 (en) Semiconductor structure and fabrication method thereof
US9450014B2 (en) Backside illumination image sensor chips and methods for forming the same
US9799612B2 (en) Semiconductor device and manufacturing method of the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant