CN105555822A - 可曝光成像的材料和相关的电子器件及方法 - Google Patents

可曝光成像的材料和相关的电子器件及方法 Download PDF

Info

Publication number
CN105555822A
CN105555822A CN201480050881.6A CN201480050881A CN105555822A CN 105555822 A CN105555822 A CN 105555822A CN 201480050881 A CN201480050881 A CN 201480050881A CN 105555822 A CN105555822 A CN 105555822A
Authority
CN
China
Prior art keywords
group
layer
polymkeric substance
composition
alkyl group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480050881.6A
Other languages
English (en)
Other versions
CN105555822B (zh
Inventor
吕少峰
D·巴策尔
黄春
王鸣辉
M·麦克雷
夏禹
安东尼欧·菲奇提
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Feilisi Co Ltd
Original Assignee
Polyera Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Polyera Corp filed Critical Polyera Corp
Publication of CN105555822A publication Critical patent/CN105555822A/zh
Application granted granted Critical
Publication of CN105555822B publication Critical patent/CN105555822B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G65/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G65/34Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives
    • C08G65/38Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives derived from phenols
    • C08G65/40Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives derived from phenols from phenols (I) and other compounds (II), e.g. OH-Ar-OH + X-Ar-X, where X is halogen atom, i.e. leaving group
    • C08G65/4006(I) or (II) containing elements other than carbon, oxygen, hydrogen or halogen as leaving group (X)
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G65/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G65/34Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives
    • C08G65/48Polymers modified by chemical after-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0384Macromolecular compounds which are rendered insoluble or differentially wettable with ethylenic or acetylenic bands in the main chain of the photopolymer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0388Macromolecular compounds which are rendered insoluble or differentially wettable with ethylenic or acetylenic bands in the side chains of the photopolymer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1222Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
    • H01L27/1225Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer with semiconductor materials not belonging to the group IV of the periodic table, e.g. InGaZnO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1288Multistep manufacturing methods employing particular masking sequences or specially adapted masks, e.g. half-tone mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明的聚合材料可以用相对低的光暴露能量进行图案化并且是热力学稳定的、机械坚固的、抗水渗透的,并显示良好的与金属氧化物、金属、金属合金以及有机材料的粘附性。此外,其可以是溶液加工的(例如,通过旋涂),并在固化形式中可以显示良好的化学(例如,溶剂和蚀刻剂)耐受性。

Description

可曝光成像的材料和相关的电子器件及方法
技术领域
对于使用金属氧化物作为半导体元件的电子器件的开发存在越来越多的兴趣。这些器件可以提供的优势如结构柔性(例如,可折叠性或可弯曲性),可能低得多的制造成本,以及可能的大面积上低温环境制造过程。特别地,金属氧化物半导体如氧化铟镓锌(IGZO)能显示出高的载流子迁移率并且能在远低于硅所需温度的温度下加工。因此,金属氧化物半导体可用于实现新型器件如电子纸张、刚性或柔性(rigidorflexible)有机发光二极管(OLED)显示器、超高分辨率显示器、射频识别(RFID)技术、透明显示器和电路。
背景技术
使用金属氧化物的一个主要优势在于可能使用蒸汽相和溶液相沉积技术来沉积半导体以及其他制造这些器件所需的材料。但是,为了进一步实现金属氧化物半导体的加工优势,器件所有的有源元件应该是机械灵活的并优选地,器件的绝大多数元件,如果其不是通过溶液相沉积制造加工,则应该是与溶液相沉积兼容。
例如,已经开发了基于各种溶液加工或蒸汽沉积的金属氧化物半导体的薄膜晶体管(TFT)。但是,在TFT中的关键部件是在氧化半导体通道层附近的层,根据TFT器件的架构,其包括栅极介电层、蚀刻停止(etch-stop)和/或钝化层(见图1)。
就栅极介电层而言,其包括电子绝缘材料,该材料能产生在源电极和栅电极之间的栅极场。因此,栅极介电层的功能在于当向栅极(gate)施加电压时避免漏电流从通道流向栅电极。除了显现较低的栅极漏电性(gateleakageproperty),良好的介电材料也需要是空气和水分稳定的,并应该是足够坚固的以承受通常的器件制造过程条件,其性质可以根据在TFT通道中使用的半导体类型调整。特别地,对于基于蒸汽沉积的金属氧化物半导体的TFT,介电材料必须能承受溅射条件和半导体的热退火,通常需要相对较高温度(~250-300℃)的过程
至于与通道接触的其他层,就蚀刻停止(ES)晶体管结构而言,用于蚀刻停止层的材料,首先并且最重要的是当通过光刻法界定源/漏电接触时,必须能避免氧化通道的损坏。ES材料必须显示出对金属氧化物层较强的粘附,并且应能承受热退火氧化物层所需的条件,而不影响(compromise)氧化物通道层的的电荷传输性。此外,在ES构架中,作为钝化层的第二层通常放置在金属氧化物/电极表面的顶部。因此,ES层,除了显示对氧化物通道层较强的粘附,还必须对钝化层强力粘附。此外,当平面化氧化物通道层的表面时,ES层应作为氧化物通道层的水分屏障。ES材料还必须能承受在其顶部发生的器件加工条件。在一种替代晶体管架构中,其已知为背通道蚀刻(BCE)结构,将氧化物半导体置于与钝化层直接接触。虽然,BCE结构要求钝化材料与氧化层而非与ES层直接的粘附,此钝化层应具有与那些用在ES架构中的层类似的性质。
虽然部分聚合物已经用作金属氧化物TFT的电介质,还未克服产生电流的聚合电介质的一些局限性。例如,极少聚合介电材料是充分可溶以成为溶液加工的,特别是通过不昂贵的印刷技术加工。在那些溶液可加工的材料中,其通常难以在之后的加工步骤中使用的条件下幸存,明显限制了其在器件制造中的应用。对于TFT器件制造,上层如半导体层、导体层和其他钝化层通过溶液相过程的沉积可能需要牺牲介电材料的完整性的溶剂、温度或沉积条件。类似地,绝大多数已知的溶液处理的介电材料不能幸存于蒸汽相沉积方法(例如,溅射),其通常用于加工金属和金属氧化物。此外,目前可用的聚合介电材料通常不能实现高的表面平滑度,其对于稳定的TFT性能和操作是先决条件。
至于钝化材料,已经预期几种聚合材料用作ES层和钝化层。但是,仍然很难识别显示优良的热稳定性、可曝光成像性和良好的对无机(例如金属、金属合金和金属氧化物)和有机材料的粘附性,同时对于氧化物通道层具有化学保护的材料。特别地,当提供优良的可曝光成像性时,传统的光阻剂不能满足其他需要。
因此,本领域需要识别适合的有机材料和/或设计和合成新的有机材料,其能与不同基底、导体和/或半导体兼容,其能用在整个金属氧化物TFT制造过程,满足一个或多个器件要求包括可曝光成像性、低电流泄漏密度、高的热稳定性、对图案化步骤中使用的刺激性化学物质的耐受性、调整的表面能、良好的粘附性、良好的溶液加工性和/或对于水的低渗透性。
发明内容
根据以上内容,本发明提供了聚合材料,其能具有一种或多种需要的性质和特性,使其适合作为电子器件如薄膜场效应晶体管,特别是金属氧化物薄膜晶体管中有源(例如电介质)和/或无源(例如钝化或蚀刻停止)材料。
具体地,本发明涉及具有下式的聚合物:
其中,L、L’、T、T’、U、U’、W、W’、Z、Z’、m、n、p、p’、x和x’如在此所定义的;包含这种聚合物的可曝光成像的组合物;包含有机层的器件,所述有机层是这种聚合物的交联产物;和制造所述器件的方法。
本发明的以上以及其他特征和优势将由以下图、说明、实施例和权利要求更全面的理解。
附图说明
应理解以下描述的附图仅用于解释说明的目的。所述附图并不必然按比例绘制,通常在解释说明本发明的原理上强调。附图并不用以通过任意方式限制本发明的范围。
图1解释说明了(a)蚀刻停止(ES)薄膜晶体管(TFT)和(b)背通道蚀刻(BCE)TFT。在解释说明的结构中,这两种类型的器件都具备底部栅极顶部接触的结构,即源电极和漏极电极被界定在金属氧化物(MO)半导体层的顶部。
图2解释说明了如何使用本发明的聚合物作为蚀刻停止层、钝化层和/或栅极介电层制造金属氧化物薄膜晶体管的工序流程。
图3显示了根据本发明的已经曝光成像的并已经在指定固化剂量下固化的聚合膜的影像。
图4显示了在显影前在两个不同的后紫外烘制(post-UVbaking)温度下膜厚度相对于固化剂量的变化。
图5显示了对于根据本发明的代表性的聚合膜(在光生酸剂下或没有光生酸剂下)的漏电流密度(J)(I/Au板的面积)与电场(E)(V/介电层的厚度)的图。
图6显示a)在源电极和漏极电极的蚀刻之后未经退火的标准背通道蚀刻(BCE)IGZOTFT,b)在源电极和漏极电极的蚀刻之后经历退火的标准背通道蚀刻(BCE)IGZOTFT(样品大小=6)和c)在源电极和漏极电极的蚀刻之后未经退火的蚀刻停止(ES)IGZOTFT(样品大小=6)的IdVg特性。
图7显示了具有从根据本发明的聚合物制备的钝化层的代表性ESIGZOTFT的传输特性,a)其已经在50RH%下储藏14天,b)在80℃下经受30V的正栅极偏压应力1500秒,c)当在80℃下经受30V的正栅极偏压应力1500秒时已经在50RH%下储藏14天。
图8对比了a)在制造之后未立即具有钝化层的对照BCEIGZOTFT,b)在95RH%下储藏8天之后的同样的对照器件,c)在制造之后立即具有由根据本发明的聚合物制备的钝化层的BCEIGZOTFT和d)在95RH%下储藏8天之后的同样的器件的正温度偏压应力稳定性。
图9解释说明了加入根据本发明的聚合物(聚合物10)作为有机栅极绝缘体(OGI)的顶部栅极底部接触的IGZOTFT的结构。
图10显示了图9所示的TFT的IdVg特性。
具体实施方式
本申请通篇描述了组合物具有、包含或包括特定的组分,或描述了方法具有、包含或包括特定的方法步骤,可以预期本发明的组合物还基本由,或由所述组分组成,并且本发明的方法也基本由,或由所述的方法步骤组成。
在申请中,将元素或组分表示为包含于和/或选自一组所述的元素或组分,应理解所述元素或组分可以是任一所述的元素或组分,或者所述元素或组分可以选自由两个或更多个所述的元素或组分形成的组。此外,应理解在此描述的组合物、装置或方法的要素和/或特征可以不远离本发明的主旨和范围的明确的或含蓄的各种方式组合。
除非另外特别说明,使用术语“包含”或“具有”应通常理解为开放式和非限定性。
除非另外特别说明,在此单数的使用包含复数(反之亦然)。此外,除非另外特别说明,当在数值的前面使用术语“约”,本发明还包括特定数值本身。除非另外特别说明或推测,如在此中使用的,术语“约”指从标称值±10%。
应理解,只要本发明仍然可以执行,步骤的顺序或进行某些行动的顺序是不重要的。此外,两个或多个步骤或行动可以同时进行。
如在此所使用的,“聚合化合物”(或“聚合物”)指包含多个通过共价化学键连接的一个或多个重复单元的分子。聚合化合物可以通过以下通式表示:
其中M是重复单元或单体。聚合化合物可以具有仅一种类型的重复单元以及两种或更多种类型的不同的重复单元。当聚合化合物具有仅一种重复单元时,其被称为均聚物。当聚合化合物具有两种或更多种类型的不同重复单元时,可以使用术语“共聚物”或“共聚化合物”。例如,共聚化合物可以包含重复单元
其中Ma和Mb代表两种不同的重复单元。除了另外特别说明,在共聚物中重复单元的组合可以是头对尾、头对头、或尾对尾。此外,除非另外特别说明,共聚物可以是无规共聚物、交替共聚物或嵌段共聚
可用于代表Ma和Mb的共聚物,在共聚物中具有x摩尔分数的Ma和y摩尔分数的Mb,其中共聚单体Ma和Mb的重复方式可以是交替的、无规的、区域无规的、区域规整的或嵌段的。除了其组成,聚合化合物可以通过其聚合度和摩尔质量(例如,根据测量技术,数均分子量(Mn)和/或重均分子量(Mw))进一步表征。聚合物通常包括主链,其具有任选的侧基。
如在此使用的,“侧基”指在聚合物的主链上取代的一部分。
如在此使用的,“可交联的”基团指功能基团,其能不可逆地反应。交联反应可以通过热量、化学或辐射方式影响。例如,具有可交联基团的化合物可以通过热(在具有热交联基团的化合物的情况)或通过UV(在具有光交联基团的化合物的情况)、微波、X-射线或电子辐射交联。在一些实施方案中,化合物(例如聚合物)可以是能够自交联的,即不需要助剂。在一些实施方案中,化合物可以与另外的试剂(例如光生酸剂或自由基引发剂)反应下形成交联。在一些实施方案中,化合物可以通过一种以上的机理交联。
如在此所使用的,“光聚合物”是具有至少一种可以通过整片曝光或通过暴露在可选波长下(通常在光谱的G(435.8nm)、H(404.7nm)或I(365.4nm)线)的辐射或其他类型辐照来固化(通过光交联)的可交联基团。
如在此所使用的,“溶液可加工”指可以用在各种溶液相方法的化合物(例如,聚合物)、材料或组合物,所述溶液相方法包含旋涂、印刷(例如,喷墨印刷、凹版印刷、平版印刷等)、喷涂、电喷涂、落模铸造、浸渍涂布和刮涂。
如在此所使用的,“卤素”指氟、氯、溴和碘。
如在此所使用的,“烷基”指直链或支链饱和烃基团。烷基基团的例子包括甲基(Me)、乙基(Et)、丙基(例如,正丙基和异丙基)、丁基(例如,正丁基、异丁基、仲丁基、叔丁基)、戊基(例如,正戊基、异戊基、新戊基)、己基等。在各种实施方案中,烷基基团可以具有1至40个碳原子(即,C1-40烷基基团),例如,1-20个碳原子(即,C1-20烷基基团)。在一些实施方案中,烷基基团可以具有1至6个碳原子,并且可以称为“较低的烷基基团”。较低的烷基基团的例子包括甲基、乙基、丙基(例如,正丙基和异丙基)和丁基(例如,正丁基、异丁基、仲丁基、叔丁基)。在一些实施方案中,烷基基团可以如在此所述被取代。烷基基团通常不被另一个烷基基团、烯基基团或炔基基团取代。
如在此所使用的,“卤代烷基”指具有一个或多个卤素取代基的烷基基团。在各种实施方案中,卤代烷基基团具有1至40个碳原子(即,C1-40卤代烷基基团),例如1-20个碳原子(即,C1-20卤代烷基基团)。卤代烷基基团的例子包括CF3、C2F5、CHF2、CCl3、CHCl2、CH2Cl、C2Cl5等。全卤代烷基,即,其中所有的氢原子都用卤素原子代替(例如,CF3和C2F5),包含在“卤代烷基”的定义中。例如,C1-40卤代烷基基团可以具有结构式–CsH2s+1-tX0 t,其中X0,每次出现,为F、Cl、Br或I,s是1至40范围内的整数,t是1至81范围内的整数,条件是t小于或等于2s+1。不是全卤代烷基的卤代烷基基团可以如在此所述的取代。
如在此所使用的,“烯基”指直链或支链的烷基基团,其具有一个或多个碳碳双键。烯基基团的例子包括乙烯基、丙烯基、丁烯基、戊烯基、己烯基、丁二烯基、戊二烯基、己二烯基基团等。一个或多个碳碳双键可以在内部(如在2-丁烯)或在末端(如在1-丁烯)。在各种实施方案中,烯基基团可以具有2至40个碳原子(即,C2-40烯基基团),例如2-20个碳原子(即,C2-20烯基基团)。在一些实施方案中,烯基基团可以如在此所述被取代。烯基基团通常不被另一个烯基基团、烷基基团或炔基基团取代。
如在此所使用的,“炔基”指直链或支链的炔基基团,其具有一个或多个碳碳三键。炔基基团的例子包括乙炔基、丙炔基、丁炔基、戊炔基、己炔基等。一个或多个碳碳三键可以在内部(如在2-丁炔)或在末端(如在1-丁炔)。在各种实施方案中,炔基基团可以具有2至40个碳原子(即,C2-40炔基基团),例如2-20个碳原子(即,C2-20炔基基团)。在一些实施方案中,炔基基团可以如在此所述被取代。炔基基团通常不被另一个炔基基团、烷基基团或烯基基团取代。
如在此所使用的,“环状部分”可以包括一个或多个(例如,1-6)碳环或杂环。环状部分可以是环烷基、杂环烷基、芳基、杂芳基(即,可以仅包含饱和键、或可以包含一个或多个不饱和键,不管芳香性),每个包含例如3-24个环原子和任选可以如在此所述被取代。在其中环状部分是“单环部分”的实施方案中,“单环部分”可以包含3-14元芳环或非芳环、碳环或杂碳环。单环部分可以包括例如苯基或5元或6元杂芳基,其中的每一个可以如在此所述被取代。在其中环状部分是“多环状部分”的实施方案中,“多环状部分”可以包含两个或更多个环彼此熔合(即分享一个共同的键)和/或通过螺原子、或一个或多个桥原子彼此连接。多环状部分可以包含8-24元芳环或非芳环、碳环或杂环,如C8-24芳基或8-24元杂芳基,其中每个都可以如在此所述任选被取代。
如在此所使用的,“芳基”指芳香族的单环烃环系统或多环系统,其中两个或多个芳香族烃环熔合(即,具有一个共同的键)在一起或至少一个芳香族的单环烃环熔合至一个或多个环烷基和/或环杂烷基环。芳基在其环系统中可以具有6至24个碳原子(例如,C6-20芳基),其可以包含多个熔合的环。在一些实施方案中,多环芳基可以具有8至24个碳原子。芳基基团任意适合的环位置可以共价连接至所定义的化学结构。仅具有芳香族碳环的芳基基团的例子包括苯基、1-萘基双环、2-萘基双环、蒽基三环、菲基三环、并五苯五环等基团。其中至少一个芳香族碳环熔合至一个或多个环烷基和/或环杂烷基环的多环系统的例子中包括环戊烷的苯并衍生物(即,茚满基,其为5,6-双环环烷基/芳香族环系统)、环己烷(即,四氢萘基,其为6,6-双环环烷基/芳香族环系统)、咪唑啉(即,苯并咪唑,其为5,6-双环环杂烷基/芳香族换系统)和吡喃(即,色烯基,其为6,6-双环环杂烷基/芳香族环系统)。芳基的其他例子包括苯并二噁烷基(benzodioxanyl)、苯并二氧杂环戊烯基(benzodioxolyl)、色满基(chromanyl)、吲哚啉基(indolinyl)等。在一些实施方案中,芳基基团可以如在此所述被取代。在一些实施方案中,芳基基团可以具有一个或多个卤素取代基,并且可以称为“卤代芳基”基团。全卤代芳基基团,即,其中所有的氢原子都被卤素原子替换的芳基(例如,-C6F5),包含在“卤代芳基”的定义内。在某些实施方案中,芳基基团被另一个芳基基团取代并可以称为联芳基团。联芳基团中的每个可以如在此所述被取代。
本发明的化合物可以包含“二价基团”,在此定义为能与两个其他部分形成共价键的连接基团。例如,本发明的化合物可以包含二价C1-20烷基基团(例如,亚甲基)、二价C2-20烯基基团(例如乙烯基基团)、二价C2-20炔基基团(例如乙炔基基团)、二价C6-14芳基基团(例如苯基基团)、二价3-14元环杂烷基基团(例如吡咯烷基),和/或二价5-14元杂芳基基团(例如,噻吩基基团)。通常,将包含在该基团之前和之后的两个键的化学基团(例如–Ar–)理解为二价的。
在本说明书的各部分,取代基以组或范围公开。特别说明的是说明书包含所述组和范围的元素的每个和每一单独的子组合。例如,术语“C1-6烷基”特别用以各公开C1、C2、C3、C4、C5、C6、C1-C6、C1-C5、C1-C4、C1-C3、C1-C2、C2-C6、C2-C5、C2-C4、C2-C3、C3-C6、C3-C5、C3-C4、C4-C6、C4-C5和C5-C6烷基。其他例子,0至40范围内的整数特别地旨在分别公开0、1、2、3、4、5、6、7、8、9、10、11、12、13、14、15、16、17、18、19、20、21、22、23、24、25、26、27、28、29、30、31、32、33、34、35、36、37、38、39和40,在范围1至20的整数特别地旨在分别公开1、2、3、4、5、6、7、8、9、10、11、12、13、14、15、16、17、18、19和20。另外的例子包括语句“任选用1-5取代基取代”特别地旨在分别公开可以包含0、1、2、3、4、5、0-5、0-4、0-3、0-2、0-1、1-5、1-4、1-3、1-2、2-5、2-4、2-3、3-5、3-4和4-5个取代基的化学基团。
说明书通篇中,可以用或者不用化学名称表示结构。当对于化学命名法有问题时,采用结构表示。
一方面,本发明涉及具有一个或多个有机层的金属氧化物薄膜晶体管和其制造方法。本晶体管通常包含基底、栅电极、栅极介电层、作为有源通道层的金属氧化物半导体、源电极和漏极电极,以及蚀刻停止层和钝化层中的至少一层。栅极介电层、钝化层,和(如果存在)蚀刻停止层可以由有机材料组成。更特别地,介电层、钝化层和蚀刻停止层中的至少一层由在此描述的聚合材料组成。如本领域已知的,栅电极,源电极和漏极电极可以相对于栅极介电层和有源半导体通道层不同的结构排布以提供例如顶部栅极顶部接触结构、顶部栅极底部接触结构、底部栅极顶部接触结构或底部栅极底部接触结构。
金属氧化物半导体有源通路层可以从铟镓锌的氧化物(IGZO)制备。IGZO提供了各种优势,包括高场效应迁移率和光透明性。但是,由于其对于环境的敏感性,IGZO薄膜晶体管(IGZOTFT)也经受不可靠的器件运作。特别地,将在环境空气中存在的小分子物种吸附/解吸到暴露的背通道层以及光敏感性(亚带隙(subgap)光响应)可以改变在IGZO膜中的载体浓度。这导致了TFT阈值电压(VTH)的变化,其随着时间造成不均匀性问题。已经证明很难识别和/或设计能够减轻某些在IGZOTFT中的已知问题同时保持其优势如高迁移率的有机栅极介电材料。
此外,最新的制造IGZOTFT的方法通常涉及沉积IGZO通道层和加工在高温下后沉积的IGZO通道层(例如,沉积之后的“活化”步骤通常对修复在IGZO的图案化以形成通道时引入的任何缺陷是必要的)。因此,对于在目前的IGZOTFT中有用的有机介电材料,其必须在约250℃或更高的温度下热稳定。具有刚性主链的聚合物,例如,加入聚环杂芳基的那些聚合物,可能具有良好的热稳定性。但是,由于其延伸的π-共轭体系,这些聚合物也具有不良的泄漏性以及因此不可忽略的导电性。
此外,据发明人所知,还没有报道提供对于常用的液体腐蚀剂(用于金属接触)有化学耐受性并向下层的金属氧化物层提供保护,可以不使用光阻剂直接曝光成像的蚀刻停止材料。
出乎意料地,发明人已经发现根据本发明的聚合物可以用作金属氧化物TFT中的可曝光成像蚀刻停止材料、钝化材料和/或栅极介电材料,具有良好的器件性能和增强的环境稳定性。
根据本发明的聚合物通常具有下式:
其中:
U和U’,每次出现,独立地选自由以下组成的组:卤素、CN、C1-6烷基基团、C1-6卤代烷基基团;
W和W’独立地为–Ar[–Y–Ar]q–,其中:
Ar,每次出现,独立地为二价C6-18芳基基团;
Y,每次出现,独立地选自由以下组成的组:–O–、–S–、–S(O)2–、–(CR’R”)r–、–C(O)–和共价键,其中R’和R”,每次出现,独立地选自由以下组成的组:H、卤素、CN、C1-10烷基基团、C1-10卤代烷基基团;并且r选自1、2、3、4、5、6、7、8、9和10;并且
q选自0、1、2、3、4、5、6、7、8、9和10;
Z和Z’独立地选自由以下组成的组:–O–、–S–和–Se–;
L和L’,每次出现,独立地选自有以下组成的组:–O–、–S–、二价C1-10烷基基团、二价C6-18芳基基团和共价键;
T和T’,每次出现,独立地为Q或R,其中:
Q是可交联基团,其包括乙烯基部分、乙炔基部分、二烯基部分、丙烯酸根部分、香豆素基部分、环氧基部分或其组合;和
R选自由以下组成的组:H、卤素、C1-10烷基基团、C1-10卤代烷基基团和任选由1至5个取代基取代的C6-10芳基基团,所述取代基独立地选自由以下组成的组:卤素和CN,条件是W包括至少一个–L–T基团,其为–L–Q;
p为1、2、3、4、5、6、7或8;
p’为0、1、2、3、4、5、6、7或8;
x和x’独立地为0、1、2、3或4;
m是实数,其中0<m≤1;并且
n是10至500范围内的整数。本发明的聚合物可以具有的分子量(Mw)范围从约1000至约50000。
在一些实施方案中,在以下重复单元中的苯基
可以是未取代的,即每个x和x’可以是0,并且聚合物可以具有下式:
其中L、L’、T、T’、W、W’、Z、Z’、m、n、p和p’如在此所定义的。在其他的实施方案中,至少一种苯基可以用1至最多4个卤素、CN、C1-6烷基基团和C1-6卤代烷基基团取代。例如,U和U’,每次出现,独立地选自由以下组成的组:F、Cl、CH3和CF3;并且x和x’,每次出现,独立地可以为0、1、2或4。为了说明,本发明的聚合物可以具有以下式中的任一个:
其中L、L'、T、T'、W、W'、Z、Z'、m、n、p和p'如在此所定义的。
本发明的聚合物包括至少一个光交联基团(Q),其表示为在部分–Z–W–Z–上的官能团(–L–Q)。可以出现额外的光交联部分,或者在–Z–W–Z–部分,或者在共同重复的单元–Z’–W’–Z’–。因此,如在此所定义的,本发明的聚合物可以被看作是光聚合物。
可交联基团Q的例子可以是包含双键、三键、能够在原位形成双键或三键的前体的官能团,或杂环加成聚合的自由基。在某些实施方案中,Q可以是包含乙烯基部分、乙炔基部分、二烯基部分、丙烯酸根部分、香豆素基部分、环醚部分(例如环氧基、氧杂环丁烷等)或其组合的官能团。
例如,适合的交联基团可以包括,但不限于:
其中R1是H或C1-20烷基基团。
–Z–W–Z–和–Z’–W’–Z’–部分可以选自各种芳醚,芳醚酮、芳醚砜、亚芳基硫醚、亚芳基硫醚酮和亚芳基硫醚砜。例如,Z和Z’可以是O或S,而W和W’可以选自由以下组成的组:–Ar[–O–Ar]q–、–Ar–S–Ar–、–Ar–CH2–Ar–、–Ar–C(CH3)2–Ar–、–Ar–C(CF3)2–Ar–、–Ar–C(O)–Ar–和–Ar[–Ar]q–,其中q是1、2、3或4,并且每个Ar独立地可以是二价苯基基团或二价萘基基团。在具体实施方案中,W和W’可以是以下基团之一:
为了说明,本发明的聚合物可以是以下结构的均聚物:
其中x可以是0或4,其在具体实施方案中,可以是以下结构的均聚物:
其中L和Q如在此所定义的。例如,每个–L–Q基团可以选自:
其中R1是H或C1-20烷基基团。
在具体实施方案中,本发明的聚合物可以是以下结构的均聚物:
在其他实施方案中,本发明的聚合物可以是以下结构的共聚物:
其中部分
是不同的。在一些实施方案中,两个部分都可以包括光交联基团。根据所述实施方案的共聚物独立地可以选自由以下组成的组:
其中x是0或4,L和Q如在此所定义。例如,每个–L–Q基团独立地可以选自:
其中R1是H或C1-20烷基基团。
在某些实施方案中,W可以由1、2或4个–L–Q基团官能化,其中Q是包含乙烯基部分的可交联基团,W’可以由1、2或4个–L–Q基团官能化,其中Q是包含环氧部分的可交联基团。为了说明,本发明的聚合物可以选自以下结构的共聚物:
其中x是0或4。
为了说明,在具体实施方案中,本发明的共聚物可以选自以下结构的共聚物:
更好的热稳定性通常在刚性聚合物中观察到,如那些在主链中具有延伸的芳基基团的聚合物。但是,主链中延伸的芳基基团的存在使得聚合物可以有传导性。不希望受到任何特定理论的限制,认为如果延伸的芳基基团不是在同一个平面上,即,如果芳基基团相互扭曲,聚合物的传导性可以明显下降。这可以例如通过用各种化学基团取代芳基基团以引入位阻来实现。
因此,在某些实施方案中,重复单元–Z–W–Z–或(如果存在)共同重复单元–Z’–W’–Z’–,或这两种重复单元,可以用至少一个–L–T基团取代,其中T是R并且其中R是C1-10烷基基团、C1-10烷氧基基团或C1-10卤代烷基基团。
本发明的聚合物的各种实施方案可以被溶液加工为薄膜,其中薄膜之后可以通过光化(例如,UV)辐射交联为物理上坚固和环境下稳定的活性或钝化材料,适合用于各种电子器件、光学器件和光电子器件。例如,根据本发明的聚合物材料可以用作(其本身或与至少一个其他的介电材料)薄膜晶体管中的介电层,用作钝化材料(例如,封装晶体管中的源电极和漏极电极)或用作蚀刻停止材料(例如,在顶部金属层的定义源电极和漏极电极的图案化(蚀刻)步骤中保护下层金属氧化物半导体层)。
当用作介电材料时,本发明的有机材料可以显示出广泛的所需的性质和特性,包括但不限于,低漏电流密度、高击穿电压、低磁滞现象、大电容值、均匀的膜厚度、溶解可加工性、低温下可加工性和/或大气压力、热稳定性、空气和水分稳定性、刺激性试剂的耐受性和/或与各种栅极材料和/或半导体的兼容性。当用作钝化或界面材料时,本发明的有机材料可以显示所需的性质和特性,包括但不限于高分解温度、高透光率、低收缩、低水分吸收、低氧气侵蚀、均匀的膜厚度、溶液可加工性、低温下可制造性和/或大气压力和对相邻材料的良好的粘附性。当用作蚀刻停止材料时,本发明的有机材料可以显示所需的性质和特性,包括但不限于,对常用液体腐蚀剂的化学耐受性、可曝光成像性(不需要光阻剂,因此减少了制造步骤)、高分解温度、高透光率、低收缩、低水分吸收、低氧气侵蚀、均匀的膜厚度、溶液可加工性、低温下可制造性和/或大气压力和对不同相邻材料(金属氧化物、金属或金属合金和有机材料)的良好的粘附性。
在光交联之前,根据本发明的聚合物通常溶于常用有机溶剂但可以在经过交联之后可以在同样的溶剂中变得明显更不易溶解或不溶。如在此所使用的,当至少1mg的化合物可以溶解在1ml的溶剂中,可以认为化合物在溶剂中溶解。化合物中,少于1mg的化合物可以均匀溶解在1ml的溶剂,认为化合物不溶解。
更具体地,在此(在交联之前)公开的聚合物可以在各种常用的有机溶剂中具有满意的溶解度,从而提供适合溶液相过程的制剂。可用于配制本发明的聚合物的有机溶剂的例子包括但不限于芳香族碳氢化合物溶剂如苯、苯基环己烷、甲苯、二甲苯和均三甲苯;脂肪族烃如己烷、环戊烷、环己烷、正壬烷、正癸烷、正十一烷、正十二烷;醇溶剂如甲醇、乙醇、丙醇、异丙醇、1-丁醇、2-乙氧基乙醇、3-甲氧基丙醇、环戊醇、环己醇和庚醇;酮溶剂如丙酮、乙酰丙酮、甲乙酮、甲基异丁基甲酮、2-丁酮、2-戊酮、3-戊酮、2-庚酮、3-庚酮、环戊酮和环己酮;酯溶剂如乙酸乙酯、乙酸丙酯、乙酸丁酯、乙酸异丁酯、乙酸戊酯、乙酸环己酯、乙酸庚酯、丙酸乙酯、丙酸丙酯、丙酸丁酯、丙酸异丁酯、丙二醇甲醚醋酸酯(PGMEA)、乳酸甲酯、乳酸乙酯和γ丁内酯;醚溶剂如二异丙醚、二丁醚、乙丙醚、二乙醚、双(2-甲氧乙基)醚、二恶烷、苯甲醚、苯乙醚和藜芦醚;和酰胺溶剂如N-甲基吡咯烷酮、二甲基甲酰胺和二甲基乙酰胺。优选的溶剂可以包含碳酸二乙酯、环戊酮、PGMEA、苯甲醚、环己酮、二甲基乙酰胺、双丙酮醇、四甲脲、苯甲酸甲酯、γ-丁内酯、苯甲酸乙酯和碳酸丙烯酯。任意的这些溶剂可单独使用或组合使用或作为与水的混合物使用。
因此,本发明的聚合物可以在液体介质中迁移以提供用于形成可曝光成像的材料的组合物(可曝光成像的组合物)。组合物可以是溶液、分散体、悬浮液、乳状液或凝胶,虽然在绝大多数实施方案中,组合物是溶液或分散体,适合溶液相过程。液体介质可以包括固体和/或气体组分,即,液体介质可以是蒸汽或气体形式。如此,术语“液体介质”可以包含汽化的液体介质。术语“在液体介质中迁移”广泛表示指定的液体介质导致了指定的固体呈现液体或蒸汽的性质。例如,固体可以溶解在液体介质中以形成单相溶液,或者固体可以分散在液体介质中以形成两相分散体。在其他实施方案中,固体和液体介质可以结合在一起以形成乳状液、悬浮液、凝胶或甚至胶束。如此处所使用的,术语“溶液”表示大部分指定的溶质已经与指定的溶剂形成单相,但是也可以存在包含分散的微粒物质的大部分固体、液体和/或气体第二相。
除了本发明的聚合物,可曝光成像的组合物可以包括其他可用于选择性改变组合物的可加工性(例如,粘度、光固化速度和/或吸收波长)和/或形成的材料的性质(例如,介电性能、热稳定性和/或分解温度)的组分。例如,组合物可以包含引发剂、光敏剂和/或另外的交联剂以增强其可曝光成像性(例如,通过加快固化时间或降低固化温度或辐射剂量)和/或增加本发明的聚合物的交联密度。引发剂的例子可以包括自由基引发剂如偶氮二异丁腈(AIBN),光生酸剂(PAG)如三苯基硫三氟甲碳酸酯(triphenylsulfoniumtriflate)或自由基光引发剂如二苯基(2,4,6-三甲基苯甲酰)氧化膦(TPO)。一些市售的PAG是:
光敏剂的例子包括苯甲酮、噻吨酮(thioxanthenone)、蒽醌、芴酮、乙酰苯和香豆素衍生物。根据光化学,某些光敏剂也可用作引发剂。
为了说明,在某些实施方案中,根据本发明的交联膜可以从可曝光成像组合物沉积,其中可曝光成像组合物包括a)根据本发明的聚合物和b)分散在液体介质(例如,有机溶剂或其混合物)中的光生酸剂。聚合物可以约1wt%至约20wt%之间的浓度存在于可曝光成像的组合物中,并且光生酸剂可以约0.05wt%至约1wt%之间的浓度存在于可曝光成像的组合物中。
在某些实施方案中,组合物可以包含小分子交联剂或第二可交联聚合物,其中小分子交联剂或第二可交联聚合物可以包含与本发明的聚合物(例如环氧化物)同样的可交联基团。通过包含小分子交联剂或第二可交联的聚合物,形成的组合物可以造成更致密交联的膜,具有增强的机械强度和更强的化学耐受性。
在具体实施方案中,根据本发明的组合物可以包括环氧聚合物,如在美国专利申请系列号13/742,867中描述的
或二环氧甘油醚聚合物如:
和/或小分子交联剂如:
另一组在本组合物中有用的交联剂是双马来酰亚胺,其例子包括:
如此处所使用的,“溶液可加工的”或“溶液加工的”指化合物的能力,例如,本发明的聚合物,通过各种溶液相过程加工。包括本发明的聚合物的溶液可加工组合物可通过各种本领域已知的溶液相沉积方法,沉积在基底上,如导电材料(例如,晶体管中的源电极、漏极电极或栅电极)或半导体材料(例如在晶体管中的带电层)。在各种实施方案中,溶液相过程可以选自旋涂、狭缝式涂布、印刷(例如,喷墨印刷、丝网印刷、移印、平版印刷、凹版印刷、柔性版印刷、胶印、块印刷等)、喷涂、电喷涂、落模铸造、浸渍涂布和刮涂。旋涂涉及将过量的涂布溶液施加在基底上,之后高速旋转基底以通过离心力铺开流体。通过此技术制备形成的膜的厚度可以取决于旋涂速度、溶液浓度以及使用的溶剂。可以例如用轮转式凹版印刷机、柔性版印刷机、移印、丝网印刷或喷墨印刷机进行印刷。通过这些印刷方法加工形成的膜的厚度可以取决于溶液的浓度、溶剂的选择和印刷重复的次数。环境条件如温度、压力和湿度也可以影响形成膜的厚度。根据使用的特定的印刷技术,印刷质量可以通过不同的参数影响,包括但不限于制剂/组合物的流变性质如表面张力能和粘度。对于不接触的印刷技术如喷墨印刷,溶解度的要求通常不太严厉并且低达约1-4mg/ml的溶解度的范围可以满足。对于凹版印刷,更高的溶解度范围可能是必须的,通常在约50-100mg/ml的范围。其他接触印刷技术如丝网印刷和柔性版印刷可需要甚至更高的溶解度范围,例如,约100-1000mg/ml。
本发明的聚合物可以直接曝光成像(不使用光阻剂)。因此,可以通过沉积根据本发明的可曝光成像的组合物形成图案化层以提供未交联膜,使未交联的膜以成影像模式经过光化辐射从而使在暴露区域的可成像曝光组合物中的聚合物变交联,并移除未暴露的区域(其仍为未交联的和溶解的)。更特别的是,所述过程可以包括沉积包括本发明的聚合物的溶液以形成所需厚度的聚合物膜,通过光掩模(具有所需成影像图案)将聚合物膜暴露于辐射(例如,H、I或G线波长)以提供交联区域和非交联区域,并且剥离非交联区域。在形成交联基质之后,本发明的膜材料可以经过进一步的图案化和处理步骤,通过上述步骤,可以在材料的顶部形成额外的层,包括额外的介电层、半导体层和/或导体层。
如上所述,本发明的聚合物可以显示出低漏电流密度。漏电流密度通常定义为大小为每横截面积的漏电流的矢量。如此处所使用的,“漏电流”指半导体结构的未控(“寄生的”)电流流动穿过的区域或其中不应流过电流的器件,例如,在金属氧化物半导体(MOS)结构中电流流动穿过的栅极介电层。如本领域技术人员已知的,确定介电材料的漏电流密度可以通过用介电材料制造标准金属-绝缘体-半导体(MIS)和/或金属-绝缘体-金属(MIM)电容器结构,之后测量漏电流,并通过金属电极的区域划分测量的电流。
如从标准MIS和MIM电容器结构测量的,本发明的聚合物及其交联产物可以具有低漏电流密度。例如,本发明的聚合物及其交联产物可以具有的漏电流密度在1MV/cm小于或等于约2×10-3A/cm2,在1MV/cm小于或等于约5×10-4A/cm2,或在1MV/cm小于或等于约2×10-4A/cm2
本发明的聚合物可以具有相对高的分解温度。例如,本发明的聚合物可以具有大于约300℃的分解温度,大于约350℃的分解温度,或大于约400℃的分解温度。聚合物的分解温度(Td)可以定义为在热重量分析(TGA)图中聚合物损失初始重量的~5%时的温度。
根据本发明的聚合物制备的介电材料可以允许交联之前表面平面化和小孔的填充,从而改进表面的平滑度(例如,实现纳米以下的表面粗糙度)和相应地器件性能和操作。小孔也可以通过层层按序沉积两个或更多个介电层填充,从而形成多层介电材料,其可以具有非常良好的均匀性并且可以基本在大区域上没有小孔。
例如,根据本发明的介电材料的某些实施方案可以是多层层压制品,其具有两层或更多层介电材料按序层层沉积(虽然可以存在一个或多个中间层),其中至少一层由包含根据本发明的聚合物的组合物制备。例如,多层层压制品可以包含至少一个从在液体介质中仅包含本发明的聚合物的组合物制备的层,和至少一个从介电聚合物或无机(例如,金属氧化物)介电材料制备的层。在其中介电材料是包含有机(如本发明的聚合物)和无机(如氮化硅或氧化硅)次层的多层层压制品的实施方案中,可以存在杂层(如本发明的聚合物与金属氧化物颗粒或小分子硅烷、磷酸盐或硫醇盐的共混物)以改进次层之间的粘附性。
本发明还提供了制品,例如,复合材料,其包含本发明的聚合材料和基底组分和/或半导体组分。基底组分可以选自但不限于掺杂硅、铟锡氧化物(ITO)、ITO-涂布的玻璃、ITO-涂布的聚酰胺或其他塑料、铜、钼、铬、铝或其他金属,单独或涂布在聚合物或其他基底上。复合材料可以包括半导体组分,特别是,金属氧化物半导体如IGZO。
特别地,本发明的聚合物可以整个或部分用作金属氧化物薄膜晶体管的蚀刻停止层,优选地,IGZO薄膜晶体管,其中蚀刻停止层包括本发明的聚合物并且直接与金属氧化物半导体层相邻。
典型的薄膜晶体管包括多个层并且可以多种方式构成。例如,TFT可以包括基底、介电层、半导体层、与半导体层接触的源电极和漏极电极、和与介电层相邻的栅电极。当在栅电极上施加电势时,电荷载子在半导体层中在与介电层的界面处汇集。结果,在源电极和漏极电极之间形成导电通道,并且如果电势施加在漏极电极上,电流将流动。
图1解释说明了底部栅极顶部接触的金属氧化物TFT的两个可能的结构,其中源电极和漏极电极定义在金属氧化物(MO)半导体层的顶部。图1a显示了蚀刻停止(ES)结构,图1b显示背通道蚀刻(BCE)结构。如所示,在ES结构中,蚀刻停止层沉积在金属氧化物层的顶部以在之后的加工步骤(例如,图案化)保护金属氧化层,所述加工步骤用于形成源电极和漏极电极。在BCE结构中,源电极和漏极电极直接在金属氧化物层上进行图案化(通常通过干燥蚀刻)。之后通常进行高温退火步骤以修复在图案化步骤中引入的破坏。在两个结构中,可以将钝化层沉积在源电极和漏极电极和金属氧化物通道上。
因此,本发明一方面涉及制造金属氧化物薄膜晶体管的方法,其中栅极介电层、钝化层和/或蚀刻停止层(如果存在)包括此处所述的聚合物。
图2解释说明了制造蚀刻停止(ES)类型的底部栅极顶部接触金属氧化物TFT的方法。如图2a所示,导电层(通常一种或多种金属)沉积在基底(1)上作为栅电极(2)。在图2b中,光阻剂层(3)沉积在栅电极顶部。为了解释说明的目的,使用正型(positive-type)光阻剂;但是,如本领域就技术人员希望的,也可以使用负型(negative-type)光阻剂。如图2c所示,之后通过掩膜将光阻剂层暴露在UV辐射。图2d-2f显示了光刻过程的其余步骤。特别地,显影光阻剂以提供所需的图案(图2d),并将金属层蚀刻(例如,通过湿法蚀刻)以采用与图案化光阻剂同样的图案(图2e)。之后将光阻剂移除以提供图案化的栅电极(图2f)。
接下来,如图2g所示,将介电层(6)沉积在栅电极顶部。在其中介电层从本发明的聚合物制备的实施方案中,包含在有机溶剂(任选包括额外的组分,如敏化剂和/或光生酸剂)中的本发明的聚合物的组合物可以被溶液加工(例如,旋涂)至组合物上,所述组合物包括栅电极和基底。为了改善其介电性和机械坚固性,此聚合层可以被加热和/或辐射以引入交联。例如,首先可以将此聚合层在低温下(例如,约100-150℃之间)软烘(soft-baked)短时间(例如,在约30秒和5分钟之间)。之后,可以将其暴露在UV辐射中,之后通过硬烘(hardbaking)的步骤(例如,在约250-350℃和约10分钟至1小时)。在其中使用不同的可交联电子绝缘聚合物作为介电层的实施方案中,可以接着进行类似的步骤。可替代地,可以使用传统绝缘材料如SiOx,通过蒸汽相方法沉积,如等离子体增强化学气相沉积(PECVD)。
在形成介电层之后,如在图2h所示沉积金属氧化物半导体层。金属氧化物半导体层的例子包括氧化铟(In2O3)、氧化铟锌(IZO)、氧化锌锡(ZTO),氧化铟镓(IGO)、氧化铟镓锌(IGZO)、氧化铟镓(IGO)、氧化铟钇(IYO)、氧化铟锡锌(ITZO)、氧化锡(SnO2)和氧化锌(ZnO)。在传统过程中,从目标(target)溅射金属氧化物半导体层。但是,已经描述了溶液相方法,例如,在美国专利号8,017,458。可以进行退火步骤(在约250-400℃之间,优选在约300℃以下)以改进金属氧化物层的半导性。
为了对金属氧化物层进行图案化,可以如图2i-2m所示使用光阻剂(8)。所述方法类似于栅电极的图案化,其包括沉积光阻剂(图2i)、通过掩模(9)暴露在UV辐射(10)(图2j),显影光阻剂(图2k),蚀刻金属氧化物半导体(图2l),和剥离光阻剂(图2m)。本领域已知各种用于不同金属和金属氧化物半导体的光阻剂材料(正型和负型)和蚀刻剂(干蚀刻剂和湿蚀刻剂)。
图2n-2p解释说明了如何可以形成并曝光成像包含本发明的聚合物的蚀刻停止层(11)。首先,从溶液相组合物(其任选可以包括额外的组分,如光生酸剂)沉积本发明的聚合物,例如,通过旋涂(图2n)。沉积之后,通过光掩模(13)将此聚合层暴露至UV辐射(12)以在暴露区域引入光交联(图2o)。通过移除未暴露的、溶解区域显影所需的图案(图2p)。为了改进膜的质量,可以进行额外的退火步骤(例如,在约100-400℃)。
图2q-2u解释说明了如何形成源电极和漏极电极。基本上,类似于栅电极,沉积导电层(14)(通常,一种或多种金属)。在顶部涂布光阻剂层(15)(图2q),之后通过阴影掩膜(17)暴露在UV辐射(16)(图2r),显影光阻剂(图2s),蚀刻导电层以定义源电极和漏极电极(14a、14b)直到蚀刻停止层暴露(图2t),并最终,移除光阻剂(图2u)。
在其中金属氧化物TFT具有背通道蚀刻结构的实施方案中,源电极和漏极电极可以通过掩膜直接沉积在金属氧化物半导体层顶部,在所述实施方案中,不需要蚀刻停止层。
图2v-2x解释说明了如何可以形成并曝光成像包含本发明的聚合物的钝化层(18)。首先,从溶液相组合物沉积本发明的聚合物(其任选可以包括额外的组分,如光生酸剂),例如,通过旋涂,在源电极和漏极电极和金属氧化物半导体层(或用于蚀刻停止结构TFT的蚀刻停止层)上(图2v)。沉积之后,将聚合层通过光掩模(20)暴露在UV辐射(19)以在暴露区域中引入光交联。在图2w,将整个钝化层交联,除了其中设有通路孔的区域。将未暴露的区域显影以形成通路孔(图2x)。
此处描述的晶体管的各种实施方案可以排布在阵列中,其可用作有源矩阵液晶显示器(AMLCD)的开关器件或外围驱动器和有源阵列有机发光二极管(AMOLED)的像素驱动。
在以下实施例中,通过各种分析技术制备和表征根据本发明的聚合材料,所述技术包含核磁共振(NMR)光谱法、元素分析、凝胶渗透色谱(GPC)、热重量分析(TGA)、光显微术、扫描电子显微镜(SEM)和金属绝缘体半导体(MIS)器件漏电测量和电容测量,以在其中显示其介电性、热稳定性、化学耐受性和与氧化物半导体和光刻过程的兼容性。也已经制造并表征加入这些聚合膜的电子器件,例如,金属氧化物薄膜晶体管,其数据如下提供。
提供以下实施例以进一步说明并便于理解本发明并不用以限制本发明。
实施例1:合成聚合物1和2
步骤1a.合成1,4-双(4-氟苯基)酰肼:在圆底烧瓶中装入4-氟苯甲酰氯(101.67g,0.64mol)和N-甲基吡咯烷酮(NMP,540ml)。之后通过冰水将反应混合物冷却。逐滴加入水合肼(H2N-NH2·H2O,15.55ml,0.32mol)。将反应混合物在室温(rt)下搅拌过夜,之后沉淀到水中(600ml)。通过过滤收集固体,用乙酸乙酯(AcOEt,400ml)悬浮,剧烈搅拌10分钟,过滤,在真空下在80℃下干燥至恒重(75.71g,产率85.6%)。1HNMR(CDCl3,500MHz):δ=9.10(br,2H),7.94-7.88(m,4H),7.22-7.16(m,4H)。
步骤1b.合成2,5-双(4-氟苯基)-1,3,4-恶二唑:设置有分水器(Dean-Starktrap)和冷凝器的圆底烧瓶中装入来自步骤1a的粗1,4-双(4-氟苯基)酰肼(75.71g,0.274mol)和1-环己基-2-吡咯烷酮(CHP,95ml)。将混合物加热至260℃并保持过夜。冷却之后,形成白色沉淀。将混合物用甲醇(MeOH,100ml)稀释并过滤以在真空下在80℃干燥之后提供白色固体(33.0g,产率46.6%)。1HNMR(CDCl3,500MHz):δ=8.19-8.13(m,4H),7.27-7.22(m,4H)。对于C14H8F2N2O的元素分析:计算的.C65.12,H3.12,N10.85;实际的:C65.11,H3.20,N10.88.Mp(未收集的):201℃-203℃。
步骤2a.合成1,4-双(烯丙氧基)苯:在氮气下向圆底烧瓶中装入对苯二酚(11g,0.1mol)、碳酸钾(K2CO3,31.8g,0.23mol)和无水乙腈苯胺(CH3CN,100ml),并将该混合物在剧烈搅拌下加热至65℃。向混合物中逐滴加入烯丙基溴(19.9ml,0.23mol)并在65℃下反应18小时。冷却后,将混合物过滤并浓缩。将其再溶解到少量己烷中并快速通过硅胶短塞(洗脱液为10:1的己烷:AcOEt的混合物)以得到浅黄色固体(15.1g,产率79%)。1HNMR(CDCl3,500MHz):δ=6.87(s,4H),6.11-6.02(m,2H),5.45-5.39(m,2H),5.32-5.26(m,2H),4.50(dt,J=5.4Hz,1.5Hz,4H).
步骤2b.合成2,5-二烯丙基-1,4-对苯二酚和2,3-二烯丙基-1,4-对苯二酚:将来自步骤2a的1,4-双(烯丙氧基)苯在氮气下在180℃搅拌之后冷却。粗NMR显示2,5-二烯丙基-1,4-对苯二酚和2,3-二烯丙基-1,4-对苯二酚的~1:1的混合物。1HNMR(CDCl3,500MHz):δ=6.62(s,2H),6.58(s,2H),6.00–5.90(m,4H),5.16–5.06(m,8H),4.59(s,4H),3.40(d,J=6.4Hz,4H),3.31(d,J=6.4Hz,2H)。之后将混合物从三氯乙烯(13ml)再结晶以产生白色固体(~2g),通过HPLC确定为2,5-二烯丙基-1,4-对苯二酚和2,3-二烯丙基-1,4-对苯二酚的9:1的混合物。
步骤3a.合成聚合物1:将设置有分水器和冷凝器的圆底烧瓶中装入来自步骤1b的2,5-双(4-氟苯基)1,3,4-恶二唑(1.29g,50mmol),来自步骤2b的2,5-二烯丙基-1,4-对苯二酚和2,3-二烯丙基-1,4-对苯二酚的9:1的混合物(951mg,5.0mmol),K2CO3(1.23g,8.9mmol),NMP(13ml)和CHP(13ml)。将反应混合物在150℃下搅拌16小时之后在180℃下搅拌30小时以上。用NMP(5ml)稀释之后,将混合物趁热过滤并将滤液沉淀入120ml的MeOH。过滤之后,将固体在100ml水中在剧烈搅拌下煮沸10分钟并趁热过滤。用MeOH洗涤之后,将固体在80℃下真空干燥过夜。最后获得浅棕色固体(1.93g,产率94%)。1HNMR(CDCl3,500MHz):δ=8.26-7.85(m,4H),7.25-7.14(m,2H),7.14-6.78(m,4H),6.56-6.05(m,4H),1.88-1.71(m,6H)。对于(C26H20N2O3)n的元素分析:计算的C76.46,H4.94,N6.86;实际的:C74.96,H5.09,N6.78。
步骤3b.合成聚合物2:在氮气下,将设置有冷凝器的圆底烧瓶中装入吡唑(59.4mg,0.87mmol),甲基三氧化铼(MTO,9mg,0.36mmol),来自步骤3a的聚合物1(817mg,2mmol)和二氯甲烷(DCM,20ml)。搅拌0.5h之后,加入H2O2(35wt%,1.6ml,8mmol)并且将反应混合物在rt搅拌6小时。将混合物沉淀入MeOH。收集黄色固体,再溶解入CHCl3(125ml),过滤并再沉淀入MeOH(150ml)。在过滤和干燥之后,获得浅褐色固体(500mg,产率57%)。1HNMR(CDCl3,500MHz):δ=8.35-7.80(m,4H),7.25-6.68(m,6H),3.83-3.58(m,2H),2.91-2.69(m,2H),1.35-0.83(m,6H)。对于(C26H20N2O5)n的元素分析:计算的C70.90,H4.58,N6.36;实际的:C66.27,H4.38,N5.98。
实施例2A:合成聚合物3、4和5
步骤1a-合成4,4’-双(烯丙氧基)-二苯醚:在氮气下,将圆底烧瓶中装入4,4’-双(羟基)-二苯醚(24.24g,0.12mol),K2CO3(38.7g,0.28mol)和无水乙腈(CH3CN,120ml)并在剧烈搅拌下将混合物加热至65℃。将烯丙基溴(25.2ml,0.29mol)逐滴加入混合物并将反应保持在65℃18小时。冷却之后,将混合物过滤并浓缩。将其与MeOH(50ml)混合,超声并过滤。在真空下干燥之后,获得白色固体(29.83g,产率88%)。1HNMR(CDCl3,500MHz):δ=6.94-6.85(m,8H),6.11-6.02(m,2H),5.45-5.39(m,2H),5.32-5.28(m,2H),4.52(dt,J=5.4Hz,1.5Hz,4H)。
步骤1b-合成4,4’-双(羟基)-3,3’-二烯丙基-二苯醚:将来自步骤1a的4,4’-双(烯丙氧基)-二苯醚(5.65g,20mmol)与十氢化萘(100ml)混合并加热至在氮气下回流23小时。冷却之后,将MeOH(50ml×2)加入以提取目标化合物。浓缩之后,将粗产品干燥上柱以纯化。将洗脱液从100%己烷逐渐变为10:1、5:1和之后2:1的己烷:乙酸乙酯的混合物之后,将粗产品纯化以产生浅黄色油(4.6g,产率81%)。1HNMR(CDCl3,500MHz):δ=6.83-6.69(m,6H),6.07-5.92(m,2H),5.23–5.10(m,4H),5.00(brs,2H),3.37(d,J=6.3Hz,4H)。
步骤2a-合成聚合物3:将设置有分水器和冷凝器的圆底烧瓶中装入2,5-双(4-氟苯基)1,3,4-恶二唑(1.95g,7.5mmol),来自步骤1b的4,4’-双(羟基)-3,3’-二烯丙基-二苯醚(2.14g,7.56mmol),K2CO3(1.86g,13.46mmol),NMP(19.5ml)和CHP(19.5ml)。将反应混合物在150℃搅拌16小时,之后在180℃搅拌25小时以上。在用NMP(10ml)稀释之后,将混合物趁热过滤并将滤液沉淀入200mlMeOH。过滤之后,将固体在100ml水中煮沸10分钟并剧烈搅拌,趁热过滤。用MeOH洗涤之后,将固体在80℃下真空干燥过夜。最终,获得浅褐色固体(3.5g,产率92%)。GPC(THF,rt)分析显示Mn=23.9kDa,d=3.30。1HNMR(CDCl3,400MHz):δ=8.19-8.01(m,4H),7.19-6.87(m,10H),6.56-6.19(m,4H),1.88-1.70(m,6H)。对于(C32H24N2O4)n的元素分析:计算的C76.79,H4.83,N5.60;实际的:C76.13,H4.95,N5.71。
步骤2b-合成聚合物4:在圆底烧瓶中装入来自步骤2a的聚合物3(3g,6mmol)和DCM(23ml)。向此溶液中,搅拌下逐滴加入在DCM(16ml)中的间氯过氧苯甲酸(CPBA,2.22g,9mmol)。在搅拌6小时之后,将混合物沉淀入MeOH。在真空下在室温过滤和干燥之后,获得白色固体(3g,产率94%)。GPC(THF,rt)分析显示Mn=25.5kDa,d=3.49。1HNMR(CDCl3,400MHz):δ=8.34-7.95(m,4H),7.25-6.77(m,10H),6.54-6.19(m,1H),3.79-3.66(m,1.5H),2.91-2.73(m,1.5H),1.90-1.58(m,1.5H),1.34-1.00(m,4.5H)。对于(C64H48N4O9)n的元素分析:计算的C75.57,H4.76,N5.51;实际的:C69.79,H4.52,N5.15。
步骤2c-合成聚合物5:在圆底烧瓶中加入来自步骤2b的聚合物4(435mg,0.87mmol)和DCM(8ml)。向此溶液中,搅拌下逐滴加入在DCM(2ml)中mCPBA溶液(217mg,0.89mmol)。搅拌6小时之后,将混合物沉淀入MeOH。在真空下在室温过滤并干燥之后,获得白色固体(270mg,产率60%)。GPC(THF,rt)分析显示Mn=22.0kDa,d=3.90。1HNMR(CDCl3,400MHz):δ=8.11(d,J=8.5Hz,4H),7.15-6.82(m,10H),3.73(s,2H),2.90-2.75(m,2H),1.25(d,J=4.8Hz,6H)。对于(C32H24N2O6)n的元素分析:计算的C72.17,H4.54,N5.26;实际的:C71.72,H4.67,N5.29。
实施例2B:催化合成聚合物3
聚合物3
步骤1-合成4,4’-双(三甲基甲硅烷基氧基)-3,3’-二烯丙基-二苯醚:将4,4’-双(羟基)-3,3’-二烯丙基-二苯醚(5.00g,17.7mmol,参见实施例2A)与L-天冬氨酸(180mg,1.4mmol)和乙腈(CH3CN,25ml)混合。向室温下混合物,加入六甲基二硅氮烷(HDMS,4.58g,5.9ml,28.4mmol)。搅拌1小时之后,向反应混合物加入水(100ml)并使用DCM(100ml)提取。分离的有机相经由Na2SO4干燥,过滤并浓缩以产生浅黄色油(7.43g,产率98%),将其直接用在下一聚合步骤。1HNMR(CDCl3,500MHz):δ=6.81(d,J=2.5Hz,2H),6.75-6.65(m,4H),5.98-5.84(m,2H),5.09–5.00(m,4H),3.30(d,J=6.6Hz,4H),0.27(s,18H)。
步骤2-催化合成聚合物3:设置有冷凝器的圆底烧瓶中装入2,5-双(4-氟苯基)1,3,4-恶二唑(4.492g,17.4mmol),来自步骤1的4,4’-双(三甲基甲硅烷基氧基)-3,3’-二烯丙基-二苯醚(7.423g,17.4mmol),KF(101mg,1.74mmol)和NMP(88.6ml)。将反应混合物在180℃下搅拌48小时并之后加热回流6小时以上。冷却之后,将混合物沉淀入350mlMeOH和70ml水的混合物中。过滤之后,将固体溶解入CHCl3(150ml)并沉淀入350mlMeOH和2ml的1M的HCl的水溶液的混合物。过滤之后,将固体再溶解入CHCl3(150ml),过滤并沉淀入350mlMeOH和2ml的1M的HCl的水溶液的混合物。在真空下在室温下干燥之后,获得浅褐色固体(8.5g,定量)。GPC(THF,rt)分析显示Mn=21.1kDa,d=2.69。1HNMR(CDCl3,400MHz):δ=8.19-8.01(m,4H),7.19-6.87(m,10H),6.56-6.19(m,4H),1.88-1.70(m,6H)。对于(C32H24N2O4)n的元素分析:计算的C76.79,H4.83,N5.60;实际的:C75.74,H4.76,N5.72。
实施例3:合成聚合物6和7
步骤1a-合成4,4’-双(烯丙氧基)-3,3’-二烯丙基-二苯醚:在氮气下,在圆底烧瓶中装入4,4’-双(羟基)-3,3’-二烯丙基-二苯醚(4.6g,16.3mmol),K2CO3(5.25g,38.0mmol)和无水乙腈(16ml)并将混合物在剧烈搅拌下加热至65℃。将烯丙基溴(3.42ml,39.5mmol)逐滴加入混合物并将反应保持在65℃18h。冷却之后,将混合物过滤并浓缩以产生黄色油,将其直接用于下一个步骤。
步骤1b-合成4,4’-双(羟基)-3,5,3’,5’-四烯丙基-二苯醚:来自步骤1a的粗4,4’-双(烯丙氧基)-3,3’-二烯丙基-二苯醚(4.6g,16.3mmol)与十氢化萘(100ml)混合并在氮气下加热至回流23小时。冷却之后,加入MeOH(50ml×2)以提取目标化合物。浓缩之后,将粗产物干燥上柱以纯化。将来自己烷的洗脱液逐渐变为己烷:乙酸乙酯20:1之后,将粗品纯化以产生淡黄色油(1.98g,两步产率43%)。1HNMR(CDCl3,400MHz):δ=6.67(s,4H),6.06-5.89(m,4H),5.17(d,J=4.4Hz,4H),5.13(s,4H),4.92(s,2H),3.37(d,J=6.3Hz,8H)。
步骤2a-合成聚合物6:在设置有分水器和冷凝器的圆底烧瓶中装入2,5-双(4-氟苯基)1,3,4-恶二唑(1.317g,5.10mmol),来自步骤1b的4,4’-双(羟基)-3,5,3’,5’-四烯丙基-二苯醚(1.849g,5.10mmol),K2CO3(1.25g,9.08mmol),NMP(13ml)和CHP(3ml)。将反应混合物在150℃搅拌16小时并之后在180℃下搅拌25小时以上。在用1-甲基-2-吡咯烷酮(7ml)稀释之后,将混合物趁热过滤并将滤液沉淀入140mlMeOH。过滤之后,将固体溶解入DCM(50ml)并沉淀入MeOH(150ml)。之后将固体在70ml的水中剧烈搅拌下煮沸10分钟并趁热过滤。用MeOH洗涤之后,将固体在80℃下真空干燥过夜。最终,获得浅褐色固体(2.1g,产率71%)。GPC(THF,rt)分析显示Mn=12.3kDa,d=8.37。1HNMR(CDCl3,400MHz):δ=8.26-7.85(m,4H),7.25-6.78(m,8H),6.56-5.97(m,8H),1.78(d,J=6.1Hz,12H)。对于(C38H32N2O4)n的元素分析:计算的C78.60,H5.55,N4.82;实际的:C77.47,H5.56,N4.91。
步骤2b-合成聚合物7:在圆底烧瓶中加入来自步骤2a的聚合物6(400mg,0.69mmol)和DCM(8ml)。向此溶液中,在搅拌下逐滴加入DCM(5.5ml)中的mCPBA(680mg,2.76mmol)溶液。在搅拌6h之后,将混合物沉淀入MeOH。在真空下室温下过滤和干燥之后,获得白色固体(390mg,产率88%)。1HNMR(CDCl3,400MHz):δ=8.20-7.85(m,4H),7.24-6.60(m,8H),4.13-3.47(m,4H),3.24-2.60(m,4H),1.42-0.82(m,12H)。对于(C38H32N2O8)n的元素分析:计算的C70.80,H5.00,N4.35;实际的:C69.29,H4.96,N4.12。
实施例4:合成聚合物8和9
步骤1a-合成2,2’-双(4-烯丙氧基苯基)六氟丙烷:在氮气下,在圆底烧瓶中装入2,2-双(4-羟基苯基)六氟丙烷(20g,59.48mmol),K2CO3(18.88g,136.8mmol)和无水乙腈(58ml)并在剧烈搅拌下将混合物加热至65℃。在混合物中逐滴加入烯丙基溴(11.98ml,142mmol)并将混合物在65℃下保持18小时,冷却之后,将混合物过滤并浓缩以产生棕色油,其直接用于下一步。
步骤1b-合成2,2’-双(3-烯丙基-4羟基苯基)六氟丙烷:在氮气下向来自步骤1a的2,2-双(4-烯丙氧基苯基)六氟丙烷(3.0g,7.2mmol)逐滴加入二乙基氯化铝(在己烷中1.0M)(15.12ml,15.12mmol)。完成加入之后,逐滴加入1M的HCl以在冷浴下对反应淬火。用DCM(3ml×2)提取和用卤水(30ml)洗涤之后,收集有机相并在Na2SO4下干燥。过滤并浓缩之后,将粗品溶解在DCM(40ml)、过滤并浓缩以产生清油(2.55g,产率85%)。1HNMR(CDCl3,500MHz):δ=7.17(d,J=8.0Hz,2H),7.12(s,2H),6.80(d,J=8.5Hz,2H),5.98(m,2H),5.23-5.08(m,6H),3.39(d,J=6.0Hz,4H)。
步骤1c-合成2,2-双(3-烯丙基-4-三甲基甲硅烷基氧基苯基)六氟丙烷:将来自步骤1b的2,2-双(3-烯丙基-4羟基苯基)六氟丙烷(2.44g,5.86mmol)与L-天冬氨酸(60mg,0.45mmol)和乙腈(CH3CN,8.2ml)混合。向室温下混合物,加入六甲基二硅氮烷(HDMS,1.52g,1.96ml,9.42mmol)。搅拌25分钟之后,向反应混合物加入水(33ml)并使用DCM(33ml)提取。分离的有机相经由Na2SO4干燥,过滤并浓缩以产生浅棕色油(2.74g,产率83%),将其直接用在下一聚合步骤。1HNMR(CDCl3,500MHz):δ=7.14(d,J=9.0Hz,2H),7.10(s,2H),6.75(d,J=8.5Hz,2H),5.87(m,2H),5.04-4.91(m,4H),3.23(d,J=6.3Hz,4H),0.30(s,18H)。
步骤2a-合成聚合物8:
设置有冷凝器的圆底烧瓶中装入2,5-双(4-氟苯基)1,3,4-恶二唑(519.2mg,2.01mmol),来自步骤1的2,2-双(3-烯丙基-4-三甲基甲硅烷基氧基苯基)六氟丙烷(1.1275g,2.01mmol),KF(13.4mg,0.23mmol)和NMP(12ml)。将反应混合物在210℃下搅拌20小时。冷却之后,将混合物沉淀入34mlMeOH和5ml水的混合物中。过滤之后,将固体再溶解入CHCl3(15ml)并沉淀入34mlMeOH和0.35ml的1M的HCl的水溶液的混合物。过滤之后,将固体再溶解入CHCl3(20ml),过滤并沉淀入60mlMeOH和0.35ml的1M的HCl的水溶液的混合物。在真空下在室温下干燥之后,获得浅褐色固体(1.03g,产率80.7%)。GPC(THF,rt)分析显示Mn=22.2kDa,d=2.66。1HNMR(CDCl3,400MHz):δ=8.11(d,J=8.4Hz,4H),7.72-6.85(m,10H),6.66-5.77(m,4H),1.94-1.66(m,6H)。
步骤2b-合成聚合物9:在圆底烧瓶中加入来自步骤2a的聚合物8(913.5mg,1.44mmol)和DCM(16ml)。向此溶液中,逐步加入mCPBA(497mg,2.15mmol)。搅拌2小时之后,将混合物沉淀入MeOH。在真空下在室温过滤并干燥之后,获得白色固体(861mg,产率90.8%)。1HNMR(CDCl3,400MHz):δ=8.24-7.97(m,4H),7.68-6.85(m,10H),6.64-5.75(m,1H),4.22-2.69(m,3H),1.91-0.96(m,6H)。
实施例5-合成聚合物10和11
步骤1.合成2,5-双(2,3,4,5,6-五氟苯基)-1,3,4-恶二唑:在设置有机械搅拌器的圆底烧瓶、干燥管和冷凝器中加入硫酸肼(15.34g,118mmol)和发烟硫酸(86ml)。向此溶液中搅拌下,在0℃逐步加入2,3,4,5,6-五氟苯甲酸(50.00g,236mmol)。搅拌1小时直至获得均匀溶液之后,将混合物加热至55℃并搅拌过夜。将混合物沉淀入冰水(2L),过滤,用5%Na2CO3洗涤至中性,在真空下干燥3小时。在EtOH中(900ml)再结晶之后,获得白色固体(20.88g,产率44%)。19FNMR(CDCl3,400MHz):δ=(-134.74)-(-134.90)(m,2F),(-145.57)-(-145.74)(m,1F),(-158.85)-(-159.07)(m,2F)。
步骤2a.合成聚合物10:在圆底烧瓶中装入2,2-双(3-烯丙基-4-三甲基甲硅烷基氧基苯基)六氟丙烷(6.97g,12.5mmol),2,5-双(2,3,4,5,6-五氟苯基)-1,3,4-恶二唑(5.00g,12.5mmol)和乙酸乙酯(70ml)。搅拌2分钟之后,将在THF中1.0M的四丁基氟化铵溶液(1.3ml,1.3mmol)加入悬浮液中。在40℃搅拌澄清的反应混合物24-48小时直到样品显示期望的分子质量~20k。之后将混合物沉淀入560ml的MeOH。过滤之后,在真空下在80℃干燥固体2h,并通过乙酸乙酯(70ml)再溶解。将溶液过滤并沉淀入560ml的MeOH。过滤之后,将固体在高真空下干燥8h。最终,获得白色固体(8.5g,产率87.3%)。GPC(THF,rt)分析显示Mn=21.7kDa,PDI=3.15。1HNMR(CDCl3,400MHz):δ=7.28-7.19(m,4H),6.74-6.65(m,2H),6.00-5.86(m,2H),5.12-4.98(m,4H),3.58-3.48(m,4H)。
步骤2b.合成聚合物11:在圆底烧瓶中加入来自步骤2a的聚合物10(6.55g,8.42mmol)和DCM(100ml)。向此溶液中,逐步加入mCPBA(~70wt%,3.90g,16.8mmol)。搅拌至样品显示完全环氧化(通常2-3天)后,将混合物过滤之后沉淀入MeOH(630ml)。在真空下在室温过滤并干燥之后,获得白色固体(5.95g,产率87.3%)。GPC(THF,rt)分析显示Mn=27.0kDawithPDI=2.95。1HNMR(CDCl3,400MHz):δ=7.38-7.23(m,4H),6.76-6.70(m,2H),3.25-3.18(m,2H),3.15-2.98(m,4H),2.81-2.74(m,2H),2.54-2.48(m,2H)。
实施例6:热稳定性
动态热重分析(TGA)扫描通过在N2(90ml/min)下以5℃/min速率倾斜升温至400℃运行。本发明的聚合物显示优良的热稳定性,具有在氮气下>320℃的初始分解温度(Td,初始)(表1)。
表1
聚合物 Td,初始(℃) Td,5%重量损失(℃)
1 362 >400(在400℃,3.1%重量损失)
2 325 357
3 374 >400(在400℃,4.7%重量损失)
4 343 376
5 326 358
6 362 >400(在400℃,2.8%重量损失)
7 320 342
8 352 >400(在400℃,2.7%重量损失)
9 346 370
10 370 >400(在400℃,4.6%重量损失)
11 330 360
实施例7:制剂和UV处理
在环戊酮中包含2.5wt%的聚合物4和0.13wt%的PAG290(三[4-(4-乙酰苯基)磺酰苯基]锍四(五氟苯基)硼酸盐(tris[4-(4-acetylphenyl)sulfanylphenyl]sulfoniumtetrakis(pentafluorophenyl)borate),市购自BASF的锍基阳离子光引发剂)的制剂以1000rpm旋涂至硅基底,之后在~110℃在热板上在环境条件下软烘1分钟以产生具有约137nm厚度的薄膜。之后用UVI线(λ=365nm)使用阴影掩膜在不同固化剂量下光固化膜,并使用环戊酮作为剥离溶剂显影。不进行后UV烘制步骤。掩膜提供对于光刻过程所需的图案。在I线光刻和用环戊酮剥离之后获得的图案化图像在图3所示。在显影步骤之后的膜厚度记载在表2。也研究后UV烘制条件。特别地,在I线光刻之后,将一些膜样品在90℃或110℃烘制5分钟。图4显示了在用环戊酮显影之前,在两个不同后UV烘制温度下,膜厚度随固化剂量的变化。
表2
实施例8:制造MIS和漏电性
使用重度掺杂的n-类型Si(MEMC电子材料,锑/n-掺杂)作为导体基底制造金属-绝缘体-半导体(MIS)电容器结构,其顶部旋涂包含在环戊酮中的聚合物4(含或不含PAG290)的制剂并用作绝缘体。将聚合物膜在110℃下在热板上软烘1分钟,用剂量2J/cm2UV-I线光固化,之后在氮气流烘箱中在300℃硬烘30分钟。顶部金属电极通过镂空掩膜在<6×10-6托压力下真空蒸发到绝缘体层上。掩膜提供各种面积(面积1=100μm×100μm;面积2=200μm×200μm;面积3=500μm×1000μm;面积4=1000μm×1000μm;面积5=1.5mm×1.5mm;面积6=1cm×2cm)的几种不同的矩形和正方形的金板。除非另外特别说明,在此和以下实施例中的漏电流使用具有金板的电容器结构确定,所述金板具有的特征尺寸为200μm×200μm,使用在尺寸1.5mm×1.5mm和200μm×200μm的金板上测量的电容计算k值。使用具有远程前置放大器,通过当地的吉时利交互式测试环境(KITE)程序和通用接口总线通信(generalpurposeinterfacebuscommunication)操作的高敏感度吉时利4200亚飞安源表仪(Keithley4200Sub-FemtoampSourceMeter)来测量MIS结构的电流(I)-电压(V)响应。所有的测量在环境条件(相对湿度=10-80%)下进行。为了最小化在I-V扫描中的电子噪音,使用三同轴电缆和探测系统(SignatoneH100系列,Gilroy,CA)来探测MIS结构。图5显示了漏电流密度(J)(I/Au板的面积)与电场(E)(V/介电层的厚度)的图。介电性质,即,聚合物膜的漏电流密度,电容(Ci)和击穿电压(BV)以及膜厚度,在表3中总结。基于本发明的介电材料的电容器的J-E特性似乎与金板的面积无关。
表3
实施例9:制造ES-IGZO-TT和器件性能
使用完整的光刻过程(该方法与微电子工业使用的标准制造方法相兼容),来制造氧化铟镓锌(IGZO)薄膜晶体管,所述晶体管具有由聚合物4组成的蚀刻停止层,以底部栅极错层结构。为了制造晶体管,采用高度掺杂的n-类型的硅片(WRS材料,每个具有30nm厚的热生长SiO2顶层)作为基底并在使用之前通过在有机溶剂中超声清洗。硅片具有的电阻率低于0.015ohm·cm并也作为晶体管的栅电极,而SiO2层作为栅极绝缘层提供的箔电容为约10nF/cm2。之后将20~500nm厚的IGZO层使用磁控溅射设备沉积。基准压力在10-5torr以下并使用氩和氧气的混合物作为载气。应用温度在300℃以下的退火步骤来改善IGZO的质量,之后进行标准光刻过程以对IGZO膜进行图案化。特别地,将市售正型光阻剂650(ClariantAG)旋涂在IGZO膜顶部并通过暗视野光掩模暴露在GHI线UV光照下,强度约20mJ/cm2。之后将基底在热板上在110℃烧制3分钟,之后通过基底浸湿在DI水中的四甲基氢氧化铵(TMAH,2.38%)1分钟以显影光阻剂图案。在用DI水漂洗之后,将草酸(在DI水中2.38%)浇筑在基底上并使其保持3~5分钟以完全腐蚀掉未保护的IGZO。用于图案化IGZO的光刻过程的完成是通过~50mJ/cm2额外的整片曝光并将其在TMAH溶液中显影以剥离剩下的光阻剂。之后将聚合物4(实施例6)的制剂在顶部旋涂,之后通过亮视场光掩模UV暴露并在环戊酮溶液中显影,如在实施例6中说明的。应用在烘箱中从120℃至350℃的额外的退火步骤以改进膜的质量。最终,对Mo层进行溅射并通过如上描述的图案化IGZO的类似的光刻过程进行图案化,使用Mo蚀刻剂(BASF)而非草酸。图案化的Mo作为源电极和漏极电极并定义IGZO薄膜晶体管的通道。通道长度在10~100μm,并且通道宽度在10~100μm。在蚀刻Mo层之后,不进行退火步骤。
发现上述晶体管性能相当好,迁移率(μ)约10cm2/Vs,Ion:Ioff比例高达108,亚阈值摆幅小于0.7V/dec,可以忽略的滞后性和低栅极漏电流。代表性ES-IGZO-TFT的传输特性表现在图6c。为了对比的目的,制造按照同样制造方法的IGZO-TFT,没有蚀刻停止层(即,背通道蚀刻类型的TFT(BCE-TFT))并且其传输特性图示于图6a和图6b中。可以看出没有蚀刻停止层,Mo沉积和光刻过程能够并确实导致IGZO背表面的损坏,因此,通过显著增加的关态电流(off-current)损坏晶体管的性能。通过在Mo层蚀刻之后进行额外的退火步骤,发现某种程度上改善关态电流,但是与不进行额外的Mo蚀刻后退火步骤制造的ES-IGZO-TFT相比,晶体管仍旧显示较早开通和更大的亚阈值摆幅。
图7表示如上述制造的代表性ES-IGZO-TFT的性能,顶部具有有机钝化层以提升其环境稳定性。可以看出,在约50%的相对湿度百分比(RH%)下储存TFT14天不会明显影响其传输特性(图7a)。此外,应用30V的正栅极偏压应力在80℃(PTBS)1500秒不会造成开启的电压或在流电流的显著偏移(图7b)。在将TFT在50RH%下储存14天观察到类似的偏压应力性能(图7c)。这些结构表明根据本发明的蚀刻停止层表现出与在其下的IGZO层背表面以及其顶部的有机钝化层良好的兼容性。
实施例10:具有聚合钝化层的IGZOTFT
对聚合物4作为钝化层在环境作用下,特别是在水蒸气的影响下保护IGZOTFT进行研究。为了研究此作用,使用如在前述实施例所述的标准光刻过程制造具有暴露的IGZO背表面的背通道蚀刻(BCE)类型的TFT。将基于环戊酮的制剂以1000rpm旋涂在BCETFT上,所述制剂包含12.2%的聚合物4和0.6%PAG290和少量均化剂,之后通过在环境条件下在热板上~110℃软烘1分钟的步骤以向膜提供~2-3um的厚度。之后将钝化涂布的TFT在UV-I线,剂量4J/cm2下光固化,之后经过在约250℃在氮气流烘箱中约30分钟的烘制步骤。为了对比的目的,制造没有钝化的对照BCE-IGZO-TFT,并且测量在95RH%下储藏8天之前和之后的电性质,如图8所示。可以看出,初始BCE-IGZO-TFT的开启电压在+30V栅极至源偏压应力下在80℃显示~5-8V的正偏移500秒(PTBS)。所述偏移通常归因于在BCE-TFT制造过程中IGZO背通道损坏。钝化层的应用似乎并没有增加偏压应力偏移。但是,在95RH%下储藏8天之后,没有钝化层的晶体管在同样的PTBS条件下经受一个“隆起”,而具有钝化层的晶体管显示与初始状态类似程度的开启电压偏移。在IGZO晶体管IdVg特性中的“隆起”被认为是由额外的半导背通道造成,所述通道源自水分子吸附和扩散入IGZO层。结果表明根据本发明的钝化层在此处描述的实验条件下可以有效阻止水的渗透。
实施例11:具有聚合介电层的顶部栅极IGZOTFT
对聚合物10作为IGZOTFT的介电层进行研究,所述IGZOTFT具有底部接触顶部栅极结构,如图9所示。特别地,将由配制有双马来酰亚胺交联剂(4wt%)的聚合物10(7wt%)、类型I的光自由基产生剂(0.3wt%)、香豆素衍生物光敏剂(0.3wt%)和在PGMEA中的表面活性剂(0.1wt%)组成的组合物以1200rpm在不同基底(原玻璃、在450℃预先烘制的玻璃、涂布有通过PECVD沉积的SiO2层的玻璃)上旋涂60秒形成~550nm膜,在这些基底上已经形成源-漏极电极和IGZO层。旋涂步骤之后在~110℃在环境条件下在热板上软烘1分钟以干燥该膜。将介电层在掩膜下暴露在UV整片曝光120秒之后用PGMEA显影以形成图案。将图案化的介电层在250℃下在烘箱内流动的N2下退火1h。为了完成此器件,在顶部对栅极金属进行图案化作为栅电极。
图10显示了TFT的IdVg特性。下表4总结了平均器件特性。所有的器件显示非常低的漏电流(~10-10A)和高迁移率(~9cm2/V-s)。
表4
μ(cm2/V-s) V(V) SS(V/decade) 开/关
~9 -1 1.0 ~105
此处涉及的所有公开文献、专利申请、专利和其他参考文献都全部以引文的方式并入本文。如存在矛盾,以本说明书(包含定义)进行限定。

Claims (27)

1.一种可曝光成像的组合物,所述组合物包括具有下式的聚合物:
其中:
U和U’,每次出现,独立地选自由以下组成的组:卤素、CN、C1-6烷基基团、C1-6卤代烷基基团;
W和W’独立地为–Ar[–Y–Ar]q–,其中:
Ar,每次出现,独立地为二价C6-18芳基基团;
Y,每次出现,独立地选自由以下组成的组:–O–、–S–、–S(O)2–、–(CR’R”)r–、–C(O)–和共价键,其中R’和R”,每次出现,独立地选自由以下组成的组:H、卤素、CN、C1-10烷基基团、C1-10卤代烷基基团;并且r选自1、2、3、4、5、6、7、8、9和10;并且
q选自0、1、2、3、4、5、6、7、8、9和10;
Z和Z’独立地选自由以下组成的组:–O–、–S–和–Se–;
L和L’,每次出现,独立地选自有以下组成的组:–O–、–S–、二价C1-10烷基基团、二价C6-18芳基基团和共价键;
T和T’,每次出现,独立地为Q或R,其中:
Q是可交联基团,其包括乙烯基部分、乙炔基部分、二烯基部分、丙烯酸根部分、香豆素基部分、环氧基部分或其组合;和
R选自由以下组成的组:H、卤素、C1-10烷基基团、C1-10卤代烷基基团和任选由1至5个取代基取代的C6-10芳基基团,所述取代基独立地选自由以下组成的组:卤素和CN,条件是W包括至少一个–L–T基团,其为–L–Q;
p为1、2、3、4、5、6、7或8;
p’为0、1、2、3、4、5、6、7或8;
x和x’独立地为0、1、2、3或4;
m是实数,其中0<m≤1;并且
n是10至500范围内的整数。
2.权利要求1所述的组合物,其中U和U’,每次出现,独立地选自由以下组成的组:F、Cl、CH3、和CF3;并且x和x’,每次出现,独立地为0、1、2或4。
3.权利要求2所述的组合物,其中每个x和x’是0,并且所述聚合物具有下式:
其中L、L’、T、T’、W、W’、Z、Z’、m、n、p和p’如在权利要求1定义的。
4.权利要求2所述的组合物,其中至少一个x不是0。
5.权利要求1所述的组合物,其中所述聚合物具有下式:
其中L、L'、T、T'、W、W'、Z、Z'、m、n、p和p'如在权利要求1所定义的。
6.权利要求1所述的组合物,其中Z和Z’是O或S。
7.权利要求6所述的组合物,其中W和W’选自
8.权利要求1所述的组合物,其中所述聚合物是以下重复单元的均聚物:
其中x可以是0或4,其选自由以下组成的组:
其中L和Q如在权利要求1所定义的。
9.权利要求8所述的组合物,其中Q选自由以下组成的组:
其中R1是H或C1-20烷基基团。
10.权利要求8所述的组合物,其中所述聚合物是选自由以下组成的组的重复单元的均聚物:
11.权利要求3所述的组合物,其中m<1,并且部分
是不同的并独立地可以选自由以下组成的组:
其中每个–L–Q基团独立地选自由以下组成的组:
其中R1是H或C1-20烷基基团。
12.权利要求1所述的组合物,其中所述聚合物是以下结构的共聚物:
其中x是0或4。
13.权利要求1所述的组合物,其中所述聚合物是以下结构的共聚物:
14.权利要求1-13任一项所述的组合物,其中所述组合物还包括双马来酰亚胺交联剂。
15.权利要求1-14任一项所述的组合物,其中所述组合物还包括光生酸剂。
16.权利要求15所述的组合物,其包括聚合物和在液体介质中分散的光生酸剂,其中所述聚合物以约1wt%和约20wt%之间的浓度存在于所述组合物中并且所述光生酸剂以约0.05wt%和约1wt%之间的浓度存在于所述组合物中。
17.一种光学器件、电子器件或光电子器件,其包括薄膜晶体管,所述薄膜晶体管包括:
基底;
金属氧化物半导体层;
与所述金属氧化物半导体层接触的源电极和漏极电极;
栅电极;
设置在所述金属氧化物半导体层和所述栅电极之间的栅极介电层,所述栅极介电层与所述金属氧化物半导体层的第一表面接触;和
与所述金属氧化物半导体层的第二表面接触的有机层;
其中所述栅极介电层和所述有机层的至少之一包括聚合物的交联产物,所述聚合物具有结构式:
其中:
U和U’,每次出现,独立地选自由以下组成的组:卤素、CN、C1-6烷基基团、C1-6卤代烷基基团;
W和W’独立地为–Ar[–Y–Ar]q–,其中:
Ar,每次出现,独立地为二价C6-18芳基基团;
Y,每次出现,独立地选自由以下组成的组:–O–、–S–、–S(O)2–、–(CR’R”)r–、–C(O)–和共价键,其中R’和R”,每次出现,独立地选自由以下组成的组:H、卤素、CN、C1-10烷基基团、C1-10卤代烷基基团;并且r选自1、2、3、4、5、6、7、8、9和10;并且
q选自0、1、2、3、4、5、6、7、8、9和10;
Z和Z’独立地选自由以下组成的组:–O–、–S–和–Se–;
L和L’,每次出现,独立地选自有以下组成的组:–O–、–S–、二价C1-10烷基基团、二价C6-18芳基基团和共价键;
T和T’,每次出现,独立地为Q或R,其中:
Q是可交联基团,其包括乙烯基部分、乙炔基部分、二烯基部分、丙烯酸根部分、香豆素基部分、环氧基部分或其组合;和
R选自由以下组成的组:H、卤素、C1-10烷基基团、C1-10卤代烷基基团和任选由1至5个取代基取代的C6-10芳基基团,所述取代基独立地选自由以下组成的组:卤素和CN,条件是W包括至少一个–L–T基团,其为–L–Q;
p为1、2、3、4、5、6、7或8;
p’为0、1、2、3、4、5、6、7或8;
x和x’独立地为0、1、2、3或4;
m是实数,其中0<m≤1;并且
n是10至500范围内的整数。
18.权利要求17所述的装置,其中所述金属氧化物半导体层包括氧化铟镓锌。
19.权利要求17所述的器件,其中有机层的功能为蚀刻停止层或钝化层。
20.一种形成薄膜晶体管的方法,所述方法包括:
在基底上沉积栅电极并对所述栅电极进行图案化;
在所述栅电极上沉积栅极介电层;
在所述栅极介电层上沉积金属氧化物半导体层,所述金属氧化物半导体层包括一种或多种选自铟、镓和锌的元素;
在所述金属氧化物半导体层上沉积有机蚀刻停止层并对所述有机蚀刻停止层进行图案化,其中所述蚀刻停止层包括可曝光成像的材料;
在图案化的蚀刻停止层上沉积金属层;
在所述金属层上形成掩膜;
将所述金属层蚀刻以界定源电极和漏极电极;并移除所述掩膜。
21.权利要求20所述的方法,其中沉积所述有机蚀刻停止层并对所述有机蚀刻停止层进行图案化包括:
在所述金属氧化物半导体层上沉积包括权利要求1-14任一项所述的可曝光成像的组合物的层;
以成影像模式将该层暴露至光化辐射使得在暴露区域中的所述可曝光成像的组合物中的聚合物变交联;并移除层的未暴露的区域以形成图案化的蚀刻停止层。
22.权利要求21所述的方法,其中在所述金属层上形成掩膜包括在所述金属层上沉积光阻剂;
以成影像模式将光阻剂暴露至光化辐射以提供暴露的区域和未暴露的区域;并且
移除所述暴露的区域以提供掩膜。
23.权利要求20所述的方法,其中在所述栅电极上沉积栅极介电层包括沉积包含电绝缘聚合物的组合物。
24.权利要求20所述的方法,其中所述金属氧化物半导体层包括氧化铟镓锌。
25.权利要求20所述的方法,还包括在所述源电极和所述漏极电极上沉积钝化层。
26.权利要求25所述的方法,其中沉积钝化层包括沉积包含聚合物的组合物。
27.权利要求26所述的方法,其中所述聚合物是可曝光成像的。
CN201480050881.6A 2013-07-15 2014-07-15 可曝光成像的材料和相关的电子器件及方法 Active CN105555822B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361846177P 2013-07-15 2013-07-15
US61/846,177 2013-07-15
PCT/US2014/046768 WO2015009768A1 (en) 2013-07-15 2014-07-15 Photopatternable materials and related electronic devices and methods

Publications (2)

Publication Number Publication Date
CN105555822A true CN105555822A (zh) 2016-05-04
CN105555822B CN105555822B (zh) 2018-05-08

Family

ID=52342848

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480050881.6A Active CN105555822B (zh) 2013-07-15 2014-07-15 可曝光成像的材料和相关的电子器件及方法

Country Status (3)

Country Link
US (2) US9190493B2 (zh)
CN (1) CN105555822B (zh)
WO (1) WO2015009768A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020143624A1 (zh) * 2019-01-07 2020-07-16 纽多维有限公司 制剂和层
CN112250994A (zh) * 2020-10-15 2021-01-22 常熟生益科技有限公司 一种树脂组合物及使用其制备的半固化片、层压板和印刷电路板

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9191828B2 (en) * 2012-08-03 2015-11-17 Intel Corporation High efficiency distributed device-to-device (D2D) channel access
CN104241394A (zh) * 2014-08-29 2014-12-24 京东方科技集团股份有限公司 一种薄膜晶体管及相应的制备方法、显示基板和显示装置
CN107003608B (zh) 2014-10-24 2020-09-25 飞利斯有限公司 可光图案化组合物及使用其制造晶体管器件的方法
CN104658974A (zh) * 2015-03-12 2015-05-27 京东方科技集团股份有限公司 一种薄膜层图案、薄膜晶体管及阵列基板的制备方法
US9837547B2 (en) * 2015-05-22 2017-12-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising oxide conductor and display device including the semiconductor device
US10978489B2 (en) * 2015-07-24 2021-04-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, display panel, method for manufacturing semiconductor device, method for manufacturing display panel, and information processing device
CN105914183B (zh) * 2016-06-22 2019-04-30 深圳市华星光电技术有限公司 Tft基板的制造方法
JP6717520B2 (ja) * 2016-07-05 2020-07-01 住友電工デバイス・イノベーション株式会社 コンデンサの製造方法
CN106252362B (zh) * 2016-08-31 2019-07-12 深圳市华星光电技术有限公司 一种阵列基板及其制备方法
JP7293190B2 (ja) 2018-03-16 2023-06-19 株式会社半導体エネルギー研究所 半導体装置
JPWO2020012276A1 (ja) 2018-07-09 2021-08-12 株式会社半導体エネルギー研究所 半導体装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050209404A1 (en) * 2004-02-13 2005-09-22 Fuji Photo Film Co., Ltd. Film and image display device utilizing same
US20090236597A1 (en) * 2008-03-20 2009-09-24 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US20100200857A1 (en) * 2007-12-04 2010-08-12 Canon Kabushiki Kaisha Oxide semiconductor thin-film transistor
JP2011140604A (ja) * 2010-01-08 2011-07-21 Nippon Shokubai Co Ltd フッ素樹脂組成物
US20110266534A1 (en) * 2006-11-28 2011-11-03 He Yan Photopolymers and Their Use in Organic Thin Film Transistors
CN102437195A (zh) * 2011-11-11 2012-05-02 友达光电股份有限公司 薄膜晶体管及其制造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5235044A (en) 1992-09-09 1993-08-10 Raychem Corporation Compounds having oxadiazole and triazene moieties, crosslinkable polymers therefrom, and methods therefor
US5387657A (en) 1993-09-10 1995-02-07 The Dow Chemical Company Epoxy resins containing thiadiazole and/or oxadiazole moieties
US20040062947A1 (en) 2002-09-25 2004-04-01 Lamansky Sergey A. Organic electroluminescent compositions
US7094902B2 (en) 2002-09-25 2006-08-22 3M Innovative Properties Company Electroactive polymers
WO2009080797A1 (en) 2007-12-21 2009-07-02 Georgia Tech Research Corporation Romp-polymerizable electron transport materials based on a bis-oxadiazole moiety
WO2012088316A1 (en) * 2010-12-22 2012-06-28 Georgia Tech Research Corporation 2-alkyl-5-phenyl oxadiazole-carbazole hosts for guest emitters
KR102017358B1 (ko) 2011-12-07 2019-09-26 바스프 에스이 유기 반도체 장치에 사용하기 위한 디케토피롤로피롤 중합체

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050209404A1 (en) * 2004-02-13 2005-09-22 Fuji Photo Film Co., Ltd. Film and image display device utilizing same
US20110266534A1 (en) * 2006-11-28 2011-11-03 He Yan Photopolymers and Their Use in Organic Thin Film Transistors
US20100200857A1 (en) * 2007-12-04 2010-08-12 Canon Kabushiki Kaisha Oxide semiconductor thin-film transistor
US20090236597A1 (en) * 2008-03-20 2009-09-24 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
JP2011140604A (ja) * 2010-01-08 2011-07-21 Nippon Shokubai Co Ltd フッ素樹脂組成物
CN102437195A (zh) * 2011-11-11 2012-05-02 友达光电股份有限公司 薄膜晶体管及其制造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
S.J.WANG等: ""Synthesis and Photoluminescent Properties of Poly(arylether)s Containing Alternate Emitting and Electron-Transporting Moieties"", 《JOURNAL OF APPLIED POLYMER SCIENCE》 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020143624A1 (zh) * 2019-01-07 2020-07-16 纽多维有限公司 制剂和层
CN112250994A (zh) * 2020-10-15 2021-01-22 常熟生益科技有限公司 一种树脂组合物及使用其制备的半固化片、层压板和印刷电路板
CN112250994B (zh) * 2020-10-15 2022-12-13 常熟生益科技有限公司 一种树脂组合物及使用其制备的半固化片、层压板和印刷电路板

Also Published As

Publication number Publication date
US9704997B2 (en) 2017-07-11
US20150021597A1 (en) 2015-01-22
CN105555822B (zh) 2018-05-08
US20160190337A1 (en) 2016-06-30
US9190493B2 (en) 2015-11-17
WO2015009768A1 (en) 2015-01-22

Similar Documents

Publication Publication Date Title
CN105555822A (zh) 可曝光成像的材料和相关的电子器件及方法
CN101517487B (zh) 放射线敏感性组合物、二氧化硅系覆膜的形成方法、二氧化硅系覆膜、具有二氧化硅系覆膜的装置和部件以及绝缘膜用感光剂
US10032920B2 (en) Thin film transistor and MOS field effect transistor that include hydrophilic/hydrophobic material, and methods for manufacturing the same
EP3025197B1 (en) Cross-linkable fluorinated photopolymer
CN103560206B (zh) 可光固化聚合物电介质及其制备方法和用途
EP3019553B1 (en) Azide-based crosslinking agents
TWI437369B (zh) A positive type photosensitive composition and a permanent resist
CN105895661B (zh) 薄膜晶体管基板的制造方法及其应用
EP3037880A1 (en) Laminate body
CN105759568B (zh) 阳性光敏硅氧烷树脂组合物及使用其形成的显示装置
JP6070203B2 (ja) 半導体素子及び表示素子
CN103828062B (zh) 有机半导体绝缘膜用组合物及有机半导体绝缘膜
US20190382617A1 (en) Polysilsesquioxane resin composition and light-shielding black resist composition containing same
TWI678378B (zh) 有機電子組成物及其裝置
EP2812931B1 (en) Electronic devices comprising photocurable polymeric materials
TWI491983B (zh) 包括二苯并哌喃結構之黏合劑樹脂及包含該樹脂之有機絕緣層組合物
JP5494295B2 (ja) 重合性化合物、重合性組成物、高分子及び半導体装置
Wu et al. Biaxially extended thiophene–isoindigo donor–acceptor conjugated polymers for high-performance flexible field-effect transistors
JP6243032B2 (ja) 有機半導体膜形成用組成物、並びに、有機半導体素子及びその製造方法
CN107561863B (zh) 正型感光性树脂组成物及其应用
JP6168212B2 (ja) ポジ型感放射線性樹脂組成物、硬化膜及びその形成方法、半導体素子、並びに表示素子
JP5974588B2 (ja) 電界効果トランジスタ、表示用パネル及び重合体

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20170327

Address after: Illinois State

Applicant after: Feilisi Co. Ltd.

Address before: Illinois State

Applicant before: Polyera Corp.

GR01 Patent grant
GR01 Patent grant