CN105321792A - 利用rf 平衡的多站式等离子体反应器 - Google Patents

利用rf 平衡的多站式等离子体反应器 Download PDF

Info

Publication number
CN105321792A
CN105321792A CN201510299311.7A CN201510299311A CN105321792A CN 105321792 A CN105321792 A CN 105321792A CN 201510299311 A CN201510299311 A CN 201510299311A CN 105321792 A CN105321792 A CN 105321792A
Authority
CN
China
Prior art keywords
power
frequency
station
impedance
change
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510299311.7A
Other languages
English (en)
Other versions
CN105321792B (zh
Inventor
苏尼尔·卡普尔
卡尔·F·利泽
阿德里安·拉瓦伊
亚斯万斯·兰吉尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201810241339.9A priority Critical patent/CN108461376B/zh
Publication of CN105321792A publication Critical patent/CN105321792A/zh
Application granted granted Critical
Publication of CN105321792B publication Critical patent/CN105321792B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本发明涉及利用RF平衡的多站式等离子体反应器。公开了用于利用RF功率频率调谐的多站式半导体沉积操作的方法和装置。RF功率的频率可根据在半导体沉积操作期间所测得的等离子体的阻抗来调谐。在所述方法和装置的某些实施方案中,RF功率参数可以在沉积操作期间或之前进行调节。半导体沉积操作的某些其他实施方案可以包括利用相应的不同配方的多个不同的沉积工艺。配方可以包括用于每个相应的配方的不同的RF功率参数。相应的配方可以在每个沉积工艺前调节RF功率参数。RF功率频率调谐可以在每个沉积工艺期间被使用。

Description

利用RF 平衡的多站式等离子体反应器
技术领域
本发明总体上涉及半导体处理领域,更具体地涉及利用RF平衡的多站式等离子体反应器
背景技术
半导体器件的制造涉及在半导体处理反应器中的半导体晶片的处理。典型的处理涉及晶片上的材料的沉积和去除(即蚀刻)。在商业规模的生产中,每个晶片包含被制造的特定半导体器件的许多拷贝,并且许多晶片被要求达到所需的器件容积。半导体处理操作的商业可行性在很大程度上取决于处理条件的晶片内均匀性和晶片到晶片的可重复性。因此,已努力确保给定晶片和每个晶片的被处理的每个部分都暴露于相同的处理条件。处理条件的变化通常会造成沉积和蚀刻速率的导致在整个处理和产品中的不可接受的变化的变化。要求使处理的变化最小化的技术和装置。
发明内容
在一些实施方案中,可以提供了一种多个站中的等离子体辅助半导体沉积的方法。该方法可以包括:a)在所述多个站中的每一个处提供衬底;b)分配RF功率至多个站,从而在这些站内产生等离子体,其中,所述RF功率根据被调节以减小站到站(stationtostation)的变化的RF功率参数来分配;c)调谐所述RF功率的频率,以及d)在每一个站的所述衬底上沉积薄膜。调谐所述频率可以包括:i)测量所述等离子体的阻抗,ii)根据在操作(i)中测得的所述阻抗判定所述RF功率的所述频率的变化,以及ⅲ)调节所述RF功率的所述频率。
在一些实施方案中,所述方法判定用于调节在操作(b)期间输送到每个站的所述RF功率参数的调整。所述调整可以包括:测量在每个站的RF功率参数;将在每个站的所述RF功率参数与用于每个站的设定点进行比较;以及判定RF调节器的变化以调节在每个站的所述RF功率参数,使得测量结果和设定点之间的差减小。
在一些其它或另外的实施方案中,操作(i)可以包含测量从所述RF功率的源来看的阻抗,以及操作(ii)可以包括判定所述RF功率的所述频率的变化,使得所述频率的所述变化将导致从所述RF功率的所述源来看的所述阻抗的相位具有零值。
在一些其它或另外的实施方案中,在所述沉积的操作期间在每个站的所述RF功率可以是基本相同的。
在一些其它或另外的实施方案中,所分配的所述RF功率可以处于固定频率下。在一些这样的实施方案中,所述固定频率可以是为约13.56MHz的频率。在一些这样的实施方案中,所述固定频率可以是预先设定的频率。在一些其它或另外的实施方案中,操作(i)可以包含测量从所述RF功率的源来看的阻抗,并且所述预先设定的频率是所计算的导致具有约50欧姆的值的从所述RF功率的所述源来看的所述阻抗的幅值。
在一些其它或另外的实施方案中,调谐所述RF功率的频率可以在10秒或10秒以下、1秒或1秒以下、500毫秒或500毫秒以下、或者150毫秒或150毫秒以下的持续时间执行。
在一些其它或另外的实施方案中,所述薄膜可以在单个ALD循环产生。在一些这样的实施方案中,每次在新的ALD循环期间重复执行操作(a)至(d)。在一些这样的实施方案中,在所述多个ALD循环期间,所述RF功率参数的所述站到站的分配可以不变化。在一些其它或另外的实施方案中,在操作(c)中所述调谐可以产生在一个ALD循环的第一RF功率频率和在另一个ALD循环的第二RF功率频率,使得所述第一RF功率频率和所述第二RF功率频率是不同的。
在一些其它或另外的实施方案中,提供了一种在多个站中的等离子体辅助半导体沉积的方法,该方法可以包括:在所述多个站中的每一个处提供衬底,其中,所述多个站在室内;并且执行至少第一沉积工艺和第二沉积工艺,以生产具有不同材料的第一层和第二层,所述不同材料具有不同的内在特性值。所述第一沉积工艺可以是根据第一配方执行的,所述第一配方具有针对RF功率参数的第一站到站的调整,所述第二沉积工艺可以是根据第二配方执行的,所述第二配方具有针对所述RF功率参数的第二站到站的调整,并且针对所述第一配方的所述RF功率参数的所述第一调整可以不同于针对所述第二配方的所述RF功率参数的所述第二调整。每个沉积工艺可以包括:a)分配RF功率至所述多个站,使得所述RF功率根据被调节以减小站到站的变化的RF功率参数来分配;b)调谐所述RF功率的频率;以及c)在每一个站的所述衬底上沉积薄膜。调谐所述频率包括:i)测量等离子体的阻抗,ii)根据在操作(i)中测得的所述阻抗判定所述RF功率的所述频率的变化,以及iii)调节所述RF功率的所述频率。
在一些其它或另外的实施方案中,所述方法还可以包括判定用于调节在操作(b)期间输送到每个站的所述RF功率参数的调整。判定所述调整可以包括:测量在每个站的RF功率参数;将在每个站的所述RF功率参数与每个站的设定点进行比较;以及判定RF调节器的变化以调节在每个站的所述RF功率参数,使得测量结果和设定点之间的差减小。
在一些其它或另外的实施方案中,操作(i)可以包含测量从所述RF功率的源来看的阻抗,以及操作(ii)可以包括判定所述RF功率的所述频率的变化,使得所述频率的所述变化将导致从所述RF功率的所述源来看的阻抗的相位具有零值。
在一些其它或另外的实施方案中,所述第一沉积工艺和所述第二沉积工艺可以是ALD沉积工艺。
在某些实施方案中,可以提供一种用于等离子体辅助半导体沉积的装置。该装置可以包括:多个沉积站;功率源,其被构造成提供RF功率至所述室,以产生和维持等离子体;阻抗传感器,其被配置为测量等离子体阻抗;RF频率调谐器,其被配置成调谐所述RF功率的频率;一个或多个RF功率调节器,其被配置成调节被分配给所述多个沉积站的所述RF功率,从而减少站到站的变化;以及一个或多个控制器。每个沉积站可以包括至少一个晶片支撑件并且被配置为接收至少一个衬底。所述多个沉积站可以在室内。所述一个或多个控制器、所述功率源、所述阻抗传感器、所述RF频率调节器以及所述RF功率调节器可以被能通信地连接。所述控制器可以被配置成:调谐所述RF功率频率,以及指示所述一个或多个RF功率调节器来调节被分配给每个站的所述RF功率以减小站到站的变化。调谐所述RF功率频率可以包括:i)通过由所述阻抗传感器测得的所述等离子体阻抗判定所述等离子体的所述阻抗;ii)根据在操作(i)中测得的所述阻抗,判定所述RF功率的所述频率的变化;以及iii)经由所述RF频率调谐器调节所述RF功率的所述频率。
在一些这样的实施方案中,操作(ii)可以包括判定所述RF功率的所述频率的变化,使得所述频率的所述变化将导致所述阻抗的相位具有零值。
在一些其它或另外的实施方案中,所述RF调节器可以选自由可变电容器和可变线圈电感器组成的群组。
在一些其它或另外的实施方案中,所述阻抗传感器可以被配置为测量从所述功率源来看的阻抗,以及操作(ii)可以包括判定所述RF功率的所述频率的变化,使得所述频率的所述变化将导致从所述RF功率的所述源来看的阻抗的相位具有零值。
在一些其它或另外的实施方案中,所提供的所述RF功率可以是在固定频率下。在一些这样的实施方案中,所述阻抗传感器可以被配置为测量通过所述功率源所看到的阻抗,以及所述固定频率是所计算的导致具有约50欧姆的值的从所述功率源来看的所述阻抗的幅值。在一些这样的其他的或者附加的实施方案中,所述固定频率可以是约13.56MHz的频率。
在一些其它或另外的实施方案中,调谐所述RF功率的频率可以在10秒或10秒以下、1秒或1秒以下、500毫秒或500毫秒以下、或者150毫秒或150毫秒以下的持续时间执行。在某些实施方案中,可以提供一种用于等离子体辅助半导体沉积的装置。该装置可以包括:多个沉积站;功率源,其被构造成提供RF功率至所述室,以产生和维持等离子体;阻抗传感器,其被配置为测量等离子体阻抗;RF频率调谐器,其被配置成调谐所述RF功率的频率;一个或多个RF功率调节器,其被配置成调节被分配给所述多个沉积站的所述RF功率,从而减少站到站的变化;以及一个或多个控制器。每个沉积站可以包括至少一个晶片支撑件并且被配置为接收至少一个衬底。所述多个沉积站可以在室内。所述一个或多个控制器、所述功率源、所述阻抗传感器、所述RF频率调节器以及所述RF功率调节器可以被能通信地连接。所述控制器可以被配置成:执行至少第一沉积工艺和第二沉积工艺,以生产具有有不同的内在特性值的不同材料的第一层和第二层,使得所述第一沉积工艺是根据第一配方执行的,所述第一配方具有所分配的所述RF功率的第一站到站的调整,并且所述第二沉积工艺是根据第二配方执行的,所述第二配方具有所分配的所述RF功率的第二站到站的调整;调谐所述RF功率频率;以及指示所述一个或多个RF功率调节器来调节被分配给每个站的所述RF功率以减小站到站的变化。调谐所述RF功率频率可以包括:i)通过由所述阻抗传感器测得的所述等离子体阻抗判定所述等离子体的所述阻抗;ii)根据在操作(i)中测得的所述阻抗,判定所述RF功率的所述频率的变化;以及iii)经由所述RF频率调谐器调节所述RF功率的所述频率。根据所述第一配方对所分配的所述RF功率的所述第一调整可以不同于根据所述第二配方对所分配的所述RF功率的所述第二调整。
附图说明
图1示出了用于在半导体衬底上沉积膜的衬底处理装置。
图2示出了可以利用等离子体平衡硬件的示例性多站式衬底处理装置。
图3是示出了在示例性的具有多个站的多站式等离子体反应器中的各种部件的示意图,该多个站共用利用RF频率调谐的RF功率源。
图4A是利用RF频率调谐和RF功率参数调整的多站式沉积工艺的工艺流程图。
图4B是利用RF频率调谐的多站式沉积工艺的工艺流程图。
具体实施方式
在以下的详细描述中,阐述了许多具体的实施方案。然而,对于本领域技术人员而言,显而易见的是,在本文公开的技术和装置可以在没有这些具体细节或通过使用替代元件或工艺的情况下实施。在其它情况下,公知的工艺、程序和部件没有详细描述,以免不必要地使本公开内容的方面难以理解。
本文所公开的是,在涉及多个膜沉积循环的半导体制造操作中,改善在半导体衬底上的薄膜沉积(例如沉积一致性)的方法和装置,其中每个膜沉积循环产生“离散型”的膜厚度。原子层沉积(ALD)是一种这样的膜沉积方法,但放置多个薄层膜并以重复的顺序方式使用的任何技术可以被视为涉及多个沉积循环,并且本文所公开的方法和装置也通常可用于在这样的多循环沉积操作中控制膜厚度。
在半导体工业中,由于器件和特征尺寸继续缩小,并且也由于三维器件结构(例如,Intel的Tri-Gate晶体管架构)在集成电路(IC)设计中变得更普遍,因此沉积薄的共形膜(相对于下伏的结构的形状具有均匀厚度的材料膜,即使下伏的结构不平坦也如此)的能力将继续得到重视。ALD是一种薄膜形成技术,该技术是非常适合于共形膜沉积的,原因在于以下事实:ALD的单个循环只沉积单个薄材料层,厚度由一种或者多种膜前体反应物限定,所述膜前体反应物可在成膜化学反应本身之前吸附在衬底表面(即,形成吸附限定层)上。多个“ALD循环”然后可以用于形成期望厚度的膜,并且由于每一层是薄的且共形的,因此所得到的膜基本与下伏的器件结构的形状保持一致。在某些实施方式中,每个ALD循环包括以下步骤:
1.将衬底表面暴露于第一前体。
2.吹扫内部定位有所述衬底的反应室。
3.激活衬底表面通常与等离子体和/或第二前体的反应。
4.吹扫内部定位有所述衬底的反应室。
每个ALD循环的持续时间通常小于25秒或小于10秒。ALD循环的等离子体暴露步骤(或多个步骤)可以是短的持续时间,例如1秒或不到1秒的持续时间。由于持续时间短,等离子体一致性的控制可以促进处理的均匀性。等离子体阻抗和所输送的功率的变化是可能影响处理均匀性的两个因素。
提供装置和方法来控制在具有共享的RF功率源的多个处理站的半导体工具中、在多个循环沉积操作中使用的RF功率。控制被供应给共享RF功率源的单个站的RF功率和RF功率的频率。
图1示出了用于在半导体衬底上沉积膜的衬底处理装置。图1的装置100有单一的处理室102,处理室102具有位于内部体积内的单一的衬底保持架108,该内部体积可通过真空泵118被保持在真空条件下。气体输送系统101和喷头106也流体耦合到室以输送(例如)膜前体、载气和/或清扫气体和/或工艺气体、二级反应物等。用于在处理室中产生等离子体的装置也显示于图1。在图1示意性地显示的装置提供了用于在半导体衬底上执行诸如化学气相沉积(CVD)或ALD之类的膜沉积操作的基本装置。
为简单起见,处理装置100被描述成具有用于维持低压环境的处理室主体102的独立处理站。然而,应当理解,多个处理站可以包括在共同的处理工具环境中,例如,在共同的反应室内,如本文所述。例如,图2描绘了多站式处理工具的一种实施方案。此外,应理解的是,在一些实施方案中,处理装置100的包括在上面详细讨论的那些硬件参数在内的一个或多个硬件参数可以通过编程方式由一个或多个系统控制器调节。
处理站100与反应物输送系统101流体地连通以便将工艺气体输送至分配喷头106。反应物输送系统101包括用于混合和/或调节输送至喷头106的工艺气体的混合容器804。一个或多个混合容器入口阀120可控制工艺气体朝向混合容器804的导入。
一些反应物可在汽化和随后输送到处理室102之前以液体形式存储。图1的实施方案包括用于将拟被供给到混合容器804的液体反应物汽化的汽化站点103。在一些实施方案中,汽化站点103可以是加热的液体喷射模块。在一些其它的实施方案中,汽化站点103可以是加热的蒸发器。在又一些实施方案中,汽化站点103可以从处理站去除。
在一些实施方案中,汽化站点103上游的液体流量控制器(LFC)可以被设置用于控制液体的质量流以便使其汽化并输送到处理室102。
喷头106将工艺气体和/或反应物(例如,膜前体)朝在处理站的衬底112分配,工艺气体和/或反应物(例如,膜前体)的流动由喷头上游的一个或多个阀(例如,阀120,120A,105)控制。在图1中所示的实施方案中,衬底112位于喷头106的下方,并显示为搁置在底座108上。喷头106可具有任何合适的形状,并且可以具有任何合适数量和布置的端口以便分配工艺气体到衬底112。
体积107位于喷头106下方。在一些实施方案中,底座108可以升高或降低以暴露衬底112给体积107和/或改变体积107的体积。任选地,可将底座108在沉积工艺的部分期间降低和/或升高以调节体积107内的处理压强、反应物浓度等。
在图1中,喷头106和底座108电连接RF功率源114和匹配网络116以激励等离子体。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制(例如,经由具有适当的机器可读指令的系统控制器)。例如,RF功率源114及匹配网络116可在任何合适的功率下进行操作,以形成具有所期望的组分的自由基物质的等离子体。同样地,RF功率源114可以提供任何适当频率的RF功率。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或一个以上的电压、电流传感器(例如,负载传感器,如VI探针)进行监控。这样的传感器的实例包括MKSVI-Probe-4100和350。这样的传感器可以测量电压、电流和相位差。在某些实施方案中,传感器可以电连接到RF功率源,并且可以位于喷头处或靠近喷头。在这样的实施方案中,从RF功率源来看的阻抗可以代表等离子体的阻抗。在另一种情况下,等离子体密度和/或工艺气体的浓度可以由一个或多个光发射谱(OES)传感器来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,负载传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它工艺特性。这样的监控器可包括,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来控制等离子体。在一个示例中,用于设置等离子体激活的等离子条件的指令可被包括在工艺配方的相应的等离子体激活配方中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺的所有指令与该工艺同步执行。在一些实施方案中,用于设定一个或一个以上的等离子体参数的指令可以被包括在等离子体工艺之前的配方中。例如,第一配方可以包括用于设置惰性气体(例如,氦)和/或反应气体的流率的指令、用于设置等离子体发生器至功率设定点的指令、以及用于第一配方的时延指令。后续的第二配方可包括用于启用等离子体发生器的指令以及用于第二配方的时延指令。第三配方可以包括用于禁用等离子体发生器的指令以及用于第三配方的时延指令。应当理解,这些配方可进一步以在本公开的范围内的任何合适的方式细分和/或重复。
在一些沉积工艺中,等离子体激励持续约几秒钟或更长的持续时间。在此处描述的某些实施方案中,远远较短时间的等离子体激励可应用在工艺循环期间。这些远远较短时间可以是约小于50毫秒,0.25秒是一个具体实施例。如此短时间的RF等离子体激励要求等离子体的快速稳定和调谐。为了实现等离子体的快速稳定和调谐,可以通过两步调谐处理配置等离子体发生器,两步调谐处理包括粗调部分和微调部分。在粗调部分中,所述阻抗匹配可被预设为特定的阻抗。粗调部分可以预先设定,使得阻抗的幅值是例如50欧姆的值。在某些实施方案中,粗调部分可以限于影响阻抗的幅值的调整。在微调部分中,RF频率可被允许从基准频率浮动以便试图使相位与目标值(诸如零的相位值)匹配。按惯例,高频等离子体在约13.56MHz的RF频率下产生。在本文公开的各种实施方案中,使频率能浮动到不同于该标准值的值以便使相位与目标值匹配。在某些实施方案中,微调部分可以限于影响阻抗相位的调整。通过允许频率浮动同时固定阻抗匹配到预定阻抗,等离子体可以更快地稳定。很短时间的等离子体激励,如与ALD或原子层蚀刻(ALE)循环相关的激励,可受益于等离子体的快速稳定。
典型的沉积循环的开始的1-2毫秒涉及等离子体的点燃。在等离子体点燃之后,然后执行RF频率的微调,以使等离子体相位匹配目标值。
如上所述,一个或多个处理站可以被包括在多站式衬底处理工具中。图2示出了示例性的多站式处理装置,其可以利用等离子体平衡硬件。就装置成本和运营费用这两方面而言,通过使用如图2中所显示的那样的多站式处理装置可以实现多种效率。例如,单个真空泵可以被用来通过排空所有4个处理站中的已用过的工艺气体等为所有的4个处理站创建单个高真空环境。根据实施方式的不同,每一个处理站可以具有它自己的用于气体输送的专用喷头,但可以共享相同的气体输送系统。同样,等离子体发生器装置的某些元件可在处理站之间被共享(例如,电源),但是根据实施方案的不同,某些方面可以是处理站专用的(例如,如果喷头用于施加生成等离子体的电位)。然而,再次,应当理解的是,这样的效率还可以通过每个处理室使用更多或更少数量的处理站(例如每个反应室使用2个、3个、5个、6个、7个、8个、9个、10个、11个、12个、13个、14个、15个或16个、或更多的处理站)而在较大或较小的程度上实现。
图2的衬底处理装置200采用单个衬底处理室214,其包括多个衬底处理站,每一个衬底处理站可以用于在被保持在该处理站的晶片保持架中的衬底上执行处理操作。在该特定实施方案中,多站式衬底处理装置200被显示为具有4个处理站201、202、203和204。其它类似的多站式处理装置可具有较多或较少的处理站,具体取决于实施方案以及例如并行晶片处理的期望程度、尺寸/空间的限制、成本限制等。图2还示出了衬底搬运机械手226和控制器250。
如图2所示,多站式处理工具200具有衬底加载端口220和机械手226,机械手226被配置为将衬底从通过吊舱228装载的盒移动通过大气端口220,进入处理室214,并且到达4个站201、202、203或204中的一个上。
在图2中所示的描绘的处理室214提供了四个处理站,201、202、203和204。RF功率在RF功率系统213生成并分配到站201-204中的每一个。RF功率系统可以包括一个或多个RF功率源(例如,高频(HFRF)源和低频(LFRF)源)、阻抗匹配模块和滤波器。在某些实施方案中,功率源可以被限制为仅仅高频源或低频源。除非另有说明,否则假定所描述的沉积工艺只采用高频功率。RF功率系统的分配系统围绕反应器是对称的,并具有高阻抗。这种对称性和阻抗导致被传递到每个站的功率的量大致相等。RF功率的约5至15%的小的差异可能来自于分配系统部件、站的对准度、温度的差异和工艺条件方面的公差。
在RF功率的微小差异会导致在多种膜特性方面的晶片到晶片的不均匀性,多种膜特性如,组成、厚度、密度、交联的量、化学性、反应完成度、应力、折射率、介电常数、硬度、蚀刻选择性、稳定性、气密性等。在单个站微调等离子体功率且动态地对应于正在变化的站的条件的能力可以降低晶片到晶片的不均匀性。需要注意的是,本发明的方法和装置不限于多站式反应器;本发明所公开的方法和装置也适用于其它的RF功率系统,其中多个处理区域共享RF功率源。
图2还描绘了用于在处理室214内在处理站201、202、203和204之间传输衬底的衬底传送装置290的实施方案。应当理解,可以采用任何合适的衬底传输装置。非限制性的实施例包括晶片转盘和晶片搬运机器手。
图2还示出了用于控制处理工具200和其处理站的工艺条件与硬件状态的系统控制器250的一个实施方式。系统控制器250可包括一个或多个存储器装置256、一个或多个大容量存储装置254以及一个或多个处理器252。处理器252可以包括一个或多个CPU、ASIC、通用计算机和/或专用计算机、一个或多个模拟和/或数字输入/输出连接件、一个或多个步进电机控制器板等。
在一些实施方案中,系统控制器250控制处理工具200的包括其单个的处理站的操作在内的操作中的一些或全部。系统控制器250可以执行在处理器252上的机器可读系统控制指令258,在一些实施方案中,系统控制指令258可以从大容量存储装置254加载到存储器装置256中。系统控制指令258可包括用于控制时序、气体和液体反应物的混合物、室和/或站的压强、室和/或站的温度、晶片的温度、目标功率电平、RF功率电平、RF暴露时间、衬底底座、卡盘和/或基座的位置、以及通过处理工具200执行的特定处理的其它参数的指令。这些处理可以包括各种类型的处理,包括但不限于与在衬底上沉积膜相关的处理。系统控制指令258可以以任何合适的方式进行配置。例如,各种处理工具组件子程序或控制的对象可以被写入以控制处理工具组件的操作。系统控制指令258可以以任何合适的计算机可读编程语言进行编码。在一些实施方式中,系统控制指令258在软件中实现,在其它实施方案中,指令可在硬件中实现,例如,作为在ASIC(专用集成电路)中逻辑的硬编码,或者,在其它实施方案中,作为软件和硬件的组合实现。
在一些实施方案中,系统控制指令258可包括用于控制上述各种参数的输入/输出控制(IOC)测序指令。例如,一个或者多个沉积工艺的每个阶段可以包括用于由系统控制器250执行的一个或多个指令。用于设置主要的膜沉积工艺阶段的处理条件的指令例如可以包括在相应的沉积配方阶段并同样可以用于覆盖膜沉积阶段。在一些实施方案中,配方可按顺序设置,以便处理的所有指令与该处理阶段同时执行。
在一些实施方案中,可以采用存储在与系统控制器250相关联的大容量存储设备254和/或存储器设备256上的其它计算机可读指令和/或程序。程序或程序段的实例包括衬底定位程序、工艺气体控制程序、压强控制程序、加热器控制程序以及等离子体控制程序。
在一些实施方案中,可以存在与系统控制器250相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器250调整的参数可以涉及工艺条件。非限制性实例包括工艺气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平、频率、和暴露时间)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器250的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具200的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包括质量流量控制器(MFC)、压力传感器(例如压力计)、热电偶、负载传感器、OES传感器等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
系统控制器250可以提供用于执行沉积工艺的机器可读指令。所述指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、诸如RF功率参数变化之类的站到站的变化、频率调谐参数、压力、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作膜堆叠的原位沉积。
系统控制器将通常包括一个或多个存储器设备和被配置成执行机器可读指令的一个或多个处理器以使该装置将执行根据本文所公开的工艺的操作。包含用于控制根据本发明所公开的衬底掺杂工艺的操作的指令的机器可读的非临时性介质可以耦合到系统控制器。
于2014年5月15日提交的美国临时专利申请No.61/994,025描述了用于多循环沉积工艺和多站式半导体处理装置中的各种其它配置,并且在此将该临时专利申请通过引用并入本文。
图3是示出了在具有多个站的示例性多站式等离子体反应器中的各种部件的示意图,该多个站共用利用RF频率调谐的RF功率源。如图所示,RF功率源301可以是高频RF功率源,其经由分配网络321分配给多个站351。HFRF可以具有约2-60MHz、或约13.56MHz的频率。在其它实施方案中,除了使用高频RF功率源外,还可以使用低频RF功率源,或者可以使用低频RF功率源来代替高频RF功率源。低频RF功率源可具有约100kHz至约1MHz的频率,或具有约400kHz的频率。某些市售的RF功率源包括调谐RF功率的频率的功能。这样的RF功率源的实例包括AdvancedEngineer’sParamount系列,MKS’sSurePower系列,Comdel’sCB、CLX与CDX系列,以及Huettinger’sTruPlasma系列。
来自RF功率源301的功率可以通过阻抗匹配系统传输,阻抗匹配系统可包括固定的匹配模块303。在同时包含高频RF功率源和低频RF功率源的某些实施方案中,高通滤波器和/或低通滤波器也可存在。另外,在某些实施方案中,来自RF功率源的功率可以通过自动匹配模块传输。在包括低频RF功率源的实施方案中,无论该低频RF功率源是附加于高频RF功率源还是替代高频RF功率源,低频功率都可通过固定匹配或自动匹配模块输送。在某些实施方案中,自动匹配模块可被用于使RF功率频率与等离子体的负荷的设定阻抗匹配。在其它实施方案中,可以使用固定的匹配模块,其不会自动使RF功率频率与等离子体的负荷的设定阻抗匹配。
在图3所示的实施方案中,RF功率源301被连接到分配网络321。分配网络321可以将由RF功率源301产生的RF功率分配到多个站351中的每一个。分配网络321连接到多个站351中的每一个站的RF调节器323。对于多个站351中的每一个站,RF调节器323被连接到功率参数传感器333,然后到喷头353。功率参数传感器333可以是先前公开的任何类型的传感器,如负载传感器或OES传感器。RF功率源301可以获得来自RF控制器343的指令并使分配给站的RF功率的频率变化。指令可以是根据由一个或多个功率参数传感器333检测到的阻抗进行的频率调整。其它实施方案中,额外的传感器可以测量最终相位,最终相位代表在所有的站351中的等离子体的相位。然后,RF控制器343可以根据由附加传感器测得的最终相位使分配给站的RF功率的频率变化。在某些实施方案中,RF控制器343可以包括指令,例如,代码,以改变RF功率的频率,使得阻抗的相位是零或接近零。在图3中所示的实施方案中,RF控制器343可以使来自各个站上游的RF功率源301的RF功率的频率变化。
RF调节器323由RF控制器343控制。RF控制器343可基于来自每个站351的传感器333的测量结果,将在各个站的RF功率改变确定的量。在某些实施方案中,RF调节器323可以是可变电容器。RF控制器343可控制能改变可变电容器的电容的步进电机(未示出)。也可以使用其它的方式来改变电容。例如,RF调节器323也可以是具有独立开关的电容器组。RF功率可以通过激活(导通)具有规定值的一些电容器来控制。例如,电容器可以被选择来给站添加1pF、2pF、4pF、8pF和16pF的并联电容。在本实施例中,激活(接通)的和非激活(关闭)的电容器的所有组合包括从0pF到31pF的范围,分辨率为1pF。通过选择要激活的电容器,控制器可以改变到站的RF功率。相比于使用步进电机,该数字控制可以较快地控制可变电容器,特别是当需要包含大范围的电容时。根据可用的空间和所需要的控制的量,本领域的技术人员将能够使用一个或多个电容器设计RF调节器以将RF功率改变一定的量。
在其它实施方案中,RF调节器323可以是可变的线圈电感器。RF控制器343可以控制可变的线圈电感器以影响往站传送的RF功率。在某些实施方案中,RF调节器不限于电容器和电感器。在某些实施方案中,其它的RF调节器323可以利用不同的机制来改变RF功率,诸如谐振器电路或电阻电路。
该传感器333测量至少一个RF功率参数。测得的RF功率参数可以是电压、电流、阻抗、相位、或负载功率。市售探针可用于测量RF功率参数,并提供测量结果到RF控制器343。也可测量非RF参数,并使用它作为用于RF控制器343的源信号。例如,来自站的等离子体或衬底温度传感器的光辐射可以测量站的特性,并将这些特性馈送到调节器控制器343。光辐射系统可以被安装在每一个站的附近以收集由站的等离子体发射的光。衬底温度传感器可使用在衬底下建造的远程红外探测系统。传感器333也可以测量多个RF功率的参数,或者多个传感器可以用在某些实施方案中,以测量多个RF功率参数。
在一些实施方案中,在多步骤工艺中,例如在多循环ALD工艺中,RF调节器可以被设置为固定值或值的范围。在这样的实施方案中,很少需要或不需要对RF功率参数的实时感测和对RF功率的站到站的分配的实时调整。
每个站351包含与接地基座357一起工作的喷头353。所提供的功率和频率足以在例如每个站约50-6000W的范围内从工艺气体产生等离子体。功率电平可以根据实施方案而变化。RF功率经由喷头353连接到站处理区域,并在施加RF功率时产生或维持等离子体。等离子体通过各种机制使材料沉积到衬底上。例如,等离子体可导致处理气体分解并在衬底表面上反应。在示出的实施方案中,RF电流在连接到地331的基座357处接地。在某些其它实施方案中,RF电流可以在室中的不同的位置接地,例如在喷头处接地。
前面描述的半导体工具可以用于等离子体平衡。等离子体平衡在具有短的循环持续时间的多循环沉积工艺(诸如ALD和原子层蚀刻(ALE))中会是特别有利的。原子层蚀刻方法在以下美国专利中进一步阐述,其中的每一个的全部内容通过引用并入本文:名称为“ADSORPTIONBASEDMATERIALREMOVALPROCESS”的美国专利No.7,416,989;名称为“METHODSOFREMOVINGSILICONNITRIDEANDOTHERMATERIALSDURINGFABRICATIONOFCONTACTS”的美国专利No.7,977,249;名称为“MODULATINGETCHSELECTIVITYANDETCHRATEOFSILICONNITRIDETHINFILMS”的美国专利No.8,187,486;名称为“ATOMICLAYERREMOVALFORHIGHASPECTRATIOGAPFILL”的美国专利No.7,981,763;以及名称为“ATOMICLAYERREMOVALPROCESSWITHHIGHERETCHAMOUNT”的美国专利No.8,058,179。
具有短的循环持续时间的多步骤沉积工艺的等离子体激活步骤可以是简短的。等离子体激活步骤的持续时间可以是约150毫秒或不到150毫秒(例如约50毫秒)。由于持续时间短,等离子体的一致性的控制对处理的均匀性有影响。等离子体平衡可以被用来控制等离子体的一致性。
图4A是利用RF频率调谐和RF功率参数调整的多站式沉积工艺的工艺流程图。在图4A中描述的工艺可以适用于在沉积工艺中的各种步骤,例如先前已描述的ALD循环的步骤3。虽然该工艺是在沉积过程的背景下讨论的,但该工艺的要素可以被应用于任何等离子体辅助的半导体工艺。
在操作401中,提供衬底。衬底可以被提供给多站式工具的一个或多个站。衬底可以通过加载具有衬底的站来提供,或者由于先前的操作,衬底可能已经在该站中,例如来自前一个循环。
提供衬底后,在操作403,形成气体流,而压力稳定在设定点。操作405开始RF功率的产生。RF功率可以是HFRF、LFRF或HFRF和LFRF两者。操作405之前,电极(通常为喷头)和衬底之间的阻抗可能是非常高的,与开路的阻抗类似。在操作405中,RF功率可以产生并施加以点燃等离子体。可以施加RF功率使得阻抗的幅值被固定在一定的电阻,如50欧姆的电阻。RF功率可以以固定的频率施加。固定频率可以是RF功率的预先确定的频率。在某些其它实施方案中,在操作405中产生的RF功率的频率可以与固定频率不一致。在这样的实施方案中,在操作405中的频率可以通过多种方式改变,如通过算法、用户输入、来自沉积工艺的先前操作的反馈、等等。在某些实施方案中,操作405可以持续有限的持续时间,如小于5毫秒的时间段。
在等离子体已被适当地点燃之后,该工艺可继续至操作407。可以将全功率从操作407向前输送。操作407-419重复进行沉积工艺的步骤的剩余的持续时间。因此,如果图4A的工艺被用在ALD循环的步骤3中,则重复操作407-419,直到步骤3结束。在操作407,可以测量等离子体的阻抗。在图4A中描述的工艺期间,在处理站内的环境条件下的化学反应和变化可导致等离子体阻抗的变化。可以通过通常用于测量等离子体的阻抗的任何传感器来测量阻抗。所测得的阻抗可以是从RF功率源来看的阻抗。从RF功率源来看的阻抗可通过本公开在本文其它部分所描述的传感器来测量。例如,传导性地连接到RF功率源的负载传感器可以测量从RF功率源来看的阻抗。
在操作408中,RF功率的频率可以根据在步骤407测得的阻抗调谐。半导体处理工具的某些实施方案可以包括用于使RF功率频率与所检测到的阻抗匹配的控制器和相关联的指令。这些指令可以基于绘图、可以是与公式或其它计算所需的RF功率频率的方法相对应的。例如,可以调谐RF功率频率,使得阻抗的相位尽可能接近零值。当阻抗在半导体处理期间改变时,RF功率频率可在操作408中相应调谐。在某些实施方案中,阻抗可以作为在每个站的阻抗的平均值来测量。某些实施方案可以包括在每个单独的站测量阻抗的功能。如前所述,操作407和408被连续地执行,直到由于阻抗的电位变化而导致沉积工艺步骤结束。
在操作409中,RF功率参数可以在每个站测量以确定站之间的变化。等离子体在站中产生之后,可测量RF功率的参数,RF功率的参数在每个站中反应动态阻抗。该参数可以是电压、电流、阻抗、相位、负载功率、输送到站的功率、或前述参数的任何组合。
在操作411,对于每个站,RF功率参数测量结果可以与设定点进行比较。在RF功率平衡的某些实施方案中,对于沉积工艺的每个循环,设定点可以是相同的。在其它实施方案中,设定点可在沉积工艺的循环之间变化。例如,设定点可以是传输到每个站的所测得的功率的平均值。在另外的实施方案中,设定点在每个站可以是不同的。这种比较可以在本地控制器、用于RF调节器的中央控制器、或在用于整个工具的控制系统执行。
传送到共享公共的RF源的所有站的总RF功率依赖于在RF发生器处的功率设定点、等离子体负载的阻抗与RF网络的阻抗。RF调节器主要影响站到站的功率分配。调节器对于总功率的影响通常是次要的。在某些情况下,根据所使用的RF调节器的类型不同,一个站的RF功率的减少可能会增加其它站的RF功率。在这些情况下,控制器可确定考虑了站到站的RF功率的相互作用的调整或简单地重复调整许多次直到测量结果在设定点的阈值裕度(thresholdmargin)内。
在某些情况下,绝对RF功率电平是合乎期望的。在这种情况下,可在每个站测量RF功率,然后执行两个调整。例如,首先,改变发电机输出处的总功率以匹配站设定点的总和。第二,调谐站RF调节器以根据设定点分配功率。调整(总功率与分配)的顺序可以颠倒。重复该过程,直到功率分配在设定点的阈值裕度内。
在操作413中,所测得的RF功率参数分布可以与设定点比较。如果差异高于阈值,则可在操作415判定每个站的调节器的变化。所需要的调节器的变化可以逐站改变。某些站可能根本不需要调节器变化,而其它站可能需要调节器变化。可以通过RF控制器或另一控制器来判定该调节器变化。注意,要进行调节的参数或RF特性可以不同于所测得的RF功率参数。在一个实例中,可测量RF功率,并且可调节电容或阻抗。
在操作417,所需要的调节器变化可以被应用以调节站的RF特性。在某些实施方案中,在操作417,可以通过调节器变化量来调节站的阻抗。然后可以从操作407开始,再次测量站的阻抗和站的RF功率参数,并且RF功率频率和功率参数的调整循环可继续,直到沉积工艺完成。
如果在操作411测得的并在操作413进行比较的功率参数在可接受的范围内,则在操作419继续半导体处理。然后可以从操作407开始,继续该工艺,直到沉积工艺完成。
在各种实施方案中,操作407-419的顺序可以被布置成不同的顺序。例如,操作409(以及可能的操作409-419)可以在操作407之前执行。在其它实施方案中,操作407-408可以与操作409-419同时进行。在另一实施方案中,测量操作407和409可以在调谐和调整操作408和411-419之前进行。
在功率平衡方面,因至少两个原因,在处理过程中可能需要连续的RF功率控制。一种可能性是遵循有意确定的RF功率曲线。在处理序列期间,设定点会随时间的推移而变化。RF调节器可以随着设定点发生变化而用于维持特定的功率分配。在另一种可能性中,站的RF功率在处理期间可以具有漂移的倾向,并且需要基于动态反馈进行调整。
在某些实施方案中,半导体处理操作可以包括在单个的多站式反应器中的多个不同的沉积工艺。所述多个不同的沉积工艺可沉积不同的材料,特别是材料层,诸如与下面的衬底接触的阻挡层或成核层、成核层上的主体层、在主体层上的覆盖层等等。这些单个的层可具有相对类似(或不同)的组合物。在一些情况下,不同的沉积工艺可使用不同的工艺气体。当半导体工艺操作有多个不同的沉积工艺时,不同的配方通常用于不同的沉积工艺。在这样的情况下,对于不同的配方,可对单个站使用不同RF功率参数设定点。在某些实施方案中,对于不同的配方,设置点的差异会导致初始调节器设置之间的变化。这种初始调节器设置可以被包含在沉积工艺的配方内或者可以是沉积工艺的配方的一部分。不同的沉积工艺的不同配方可以反映在不同工艺的初始调节器设置中的变化。在这样的情况下,对于独立的工艺的初始调节器设置可以通过现有的模拟或测试结果来确定。在某些实施方案中,首先生成并分配到站的RF功率频率对于单个的配方可以是不同的每个站的功率设置。在这样的实施方案中,根据本文所述的技术方案,在沉积工艺期间可以进一步调谐RF调节器。在某些实施方式中,当沉积工艺执行经过多个循环时,初始调节器位置可以是被计算以产生最少量的RF调节器调谐的调节器位置。
图4B是利用RF频率调谐的多站式沉积工艺的工艺流程图。图4B类似于图4A,但是,图4A描述了在沉积工艺期间利用RF频率调谐和RF功率参数调整两者的工艺,而图4B描述了在沉积工艺期间仅利用RF频率调谐的工艺。
图4B的工艺流程图可以是用于利用RF频率调谐的沉积工艺的工艺。某些实施方案可使用示于工艺4B中所图示的工艺,该工艺用于包括多个不同的沉积工艺的半导体工艺操作的单个沉积工艺。如本文中所描述的,多个不同的沉积工艺可沉积不同的材料。在其它实施方式中,只使用单个的沉积工艺。无论哪种方式,站到站的RF功率调整是固定的,并且可以在沉积工艺前设定。通常情况下,该工艺不使用RF功率参数的反馈来确定沉积期间的适当的RF功率调整。
在图4B的工艺流程图420中,操作421和423分别类似于图4A的操作401和403。在图4B的操作425中,确定多个站的RF调整。多个站的RF调整在RF功率的产生以及晶片的处理之前确定。RF调整可通过历史数据、计算、或试误法来确定。
已在操作425执行RF调整之后,工艺可进行到操作427。操作427、429和431分别类似于图4A的操作405、407和408。在操作433,处理每个站的当前循环,并且接着将该工艺重复期望数目的循环。在期望数目的循环中的每个循环中,重复操作427、429和431,以便根据所测得的阻抗的要求不断调谐RF功率频率。可以根据本公开在其它部分所描述的技术方案来调谐RF功率的频率。
于2012年10月9日公布的美国专利No.8,282,983中描述了用于多站式半导体处理装置的功率平衡的多种其它的结构和装置,在此将其全文通过引入并入本发明。

Claims (26)

1.一种在处理室中的多个站中的等离子体辅助半导体沉积的方法,该方法包括:
a)在所述多个站中的每一个处提供衬底;
b)分配RF功率至多个站,从而在所述站内产生等离子体,其中,所述RF功率根据被调节以减小站到站的变化的RF功率参数来分配;
c)调谐所述RF功率的频率,其中调谐所述频率包括:
i)测量所述等离子体的阻抗,
ii)根据在(i)中测得的所述阻抗判定所述RF功率的所述频率的变化,以及
iii)调节所述RF功率的所述频率;以及
d)在每一个站的所述衬底上沉积薄膜。
2.根据权利要求1所述的方法,其还包括判定用于调节在b)期间输送到每个站的所述RF功率参数的调整,其中,判定所述调整包括:
测量在每个站的RF功率参数;
将在每个站的所述RF功率参数与用于每个站的设定点进行比较;以及
判定RF调节器的变化以调节在每个站的所述RF功率参数,使得测量结果和设定点之间的差减小。
3.根据权利要求1所述的方法,其中i)包含测量从所述RF功率的源来看的阻抗,以及ii)包括判定所述RF功率的所述频率的变化,使得所述频率的所述变化将导致从所述RF功率的所述源来看的所述阻抗的相位具有零值。
4.根据权利要求1所述的方法,其中在所述沉积的操作期间在每个站的所述RF功率是基本相同的。
5.根据权利要求1所述的方法,其中所分配的所述RF功率是在固定频率下。
6.根据权利要求5所述的方法,其中所述固定频率是预先设定的频率。
7.根据权利要求6所述的方法,其中i)包含测量从所述RF功率的源来看的阻抗,并且所述预先设定的频率是所计算的导致具有约50欧姆的值的从所述RF功率的所述源来看的所述阻抗的幅值。
8.根据权利要求5所述的方法,其中所述固定频率是为约13.56MHz的频率。
9.根据权利要求1所述的方法,其中调谐所述RF功率的所述频率在10秒或10秒以下的持续时间执行。
10.根据权利要求1所述的方法,其中所述薄膜在单个ALD循环期间产生。
11.根据权利要求10所述的方法,其中每次在新的ALD循环期间重复执行(a)至(d)。
12.根据权利要求11所述的方法,其中,在所述多个ALD循环,所述RF功率参数的所述站到站的分配没有变化。
13.根据权利要求11所述的方法,其中在(c)中所述调谐产生在一个ALD循环的第一RF功率频率和在另一个ALD循环的第二RF功率频率,并且其中所述第一RF功率频率和所述第二RF功率频率是不同的。
14.一种在多个站中的等离子体辅助半导体沉积的方法,该方法包括:
在所述多个站中的每一个处提供衬底,其中,所述多个站在室内;
执行至少第一沉积工艺和第二沉积工艺,以生产具有不同材料的第一层和第二层,所述不同材料具有不同的内在特性值,其中:
所述第一沉积工艺是根据第一配方执行的,所述第一配方具有针对RF功率参数的第一站到站的调整,
所述第二沉积工艺是根据第二配方执行的,所述第二配方具有针对所述RF功率参数的第二站到站的调整,
每个沉积工艺包括:
a)分配RF功率至所述多个站,其中,所述RF功率根据被调节以减小站到站的变化的RF功率参数来分配;
b)调谐所述RF功率的频率,其中调谐所述频率包括:
i)测量等离子体的阻抗,
ii)根据在(i)中测得的所述阻抗判定所述RF功率的所述频率的变化,以及
iii)调节所述RF功率的所述频率;以及
c)在每一个站的所述衬底上沉积薄膜,以及
针对所述第一配方的所述RF功率参数的所述第一调整不同于针对所述第二配方的所述RF功率参数的所述第二调整。
15.根据权利要求14所述的方法,其还包括判定用于调节在(b)期间输送到每个站的所述RF功率参数的调整,其中,判定所述调整包括:
测量在每个站的RF功率参数;
将在每个站的所述RF功率参数与每个站的设定点进行比较;以及
判定RF调节器的变化以调节在每个站的所述RF功率参数,使得测量结果和设定点之间的差减小。
16.根据权利要求14所述的方法,其中i)包含测量从所述RF功率的源来看的阻抗,以及ii)包括判定所述RF功率的所述频率的变化,使得所述频率的所述变化将导致从所述RF功率的所述源来看的所述阻抗的相位具有零值。
17.根据权利要求14所述的方法,其中所述第一沉积工艺和所述第二沉积工艺是ALD沉积工艺。
18.一种用于等离子体辅助半导体沉积的装置,该装置包括:
多个沉积站,其中,每个沉积站包括至少一个晶片支撑件并且被配置为接收至少一个衬底,并且其中所述多个沉积站在室内;
功率源,其被构造成提供RF功率至所述室,以产生和维持等离子体;
阻抗传感器,其被配置为测量等离子体阻抗;
RF频率调谐器,其被配置成调谐所述RF功率的频率;
一个或多个RF功率调节器,其被配置成调节被分配给所述多个沉积站的所述RF功率,从而减少站到站的变化;以及
一个或多个控制器,其中所述一个或多个控制器、所述功率源、所述阻抗传感器、所述RF频率调节器以及所述RF功率调节器被能通信地连接,并且所述控制器被配置成:
调谐所述RF功率频率,其中调谐所述RF功率频率包括:
i)通过由所述阻抗传感器测得的所述等离子体阻抗判定所述等离子体的所述阻抗;
ii)根据在步骤(i)中测得的所述阻抗,判定所述RF功率的所述频率的变化;以及
iii)经由所述RF频率调谐器调节所述RF功率的所述频率,以及
指示所述一个或多个RF功率调节器来调节被分配给每个站的所述RF功率以减小站到站的变化。
19.根据权利要求18所述的装置,其中ii)包括判定所述RF功率的所述频率的变化,使得所述频率的所述变化将导致所述阻抗的相位具有零值。
20.根据权利要求18所述的装置,其中所述RF调节器选自由可变电容器和可变线圈电感器组成的群组。
21.根据权利要求18所述的装置,其中所述阻抗传感器被配置为测量从所述功率源来看的阻抗,以及ii)包括判定所述RF功率的所述频率的变化,使得所述频率的所述变化将导致从所述功率源来看的所述阻抗的相位具有零值。
22.根据权利要求18所述的装置,其中所提供的所述RF功率是在固定频率下。
23.根据权利要求22所述的装置,其中所述阻抗传感器被配置为测量通过所述功率源所看到的阻抗,以及所述固定频率是所计算的导致具有约50欧姆的值的从所述功率源来看的所述阻抗的幅值。
24.根据权利要求22所述的装置,其中所述固定频率是约13.56MHz的频率。
25.根据权利要求18所述的装置,其中调谐所述RF功率的所述频率在10秒或10秒以下的持续时间执行。
26.一种用于等离子体辅助半导体沉积的装置,该装置包括:
多个沉积站,其中,每个沉积站包括至少一个晶片支撑件并且被配置为接收至少一个衬底,并且其中所述多个沉积站在室内;
功率源,其被构造成提供RF功率至所述室,以产生和维持等离子体;
阻抗传感器,其被配置为测量等离子体阻抗;
RF频率调谐器,其被配置成调谐所述RF功率的频率;
一个或多个RF功率调节器,其被配置成调节被分配给所述多个沉积站的所述RF功率,从而减少站到站的变化;以及
一个或多个控制器,其中所述一个或多个控制器、所述功率源、所述阻抗传感器、所述RF频率调节器以及所述RF功率调节器被能通信地连接,并且所述控制器被配置成:
执行至少第一沉积工艺和第二沉积工艺,以生产具有不同材料的第一层和第二层,所述不同材料具有不同的内在特性值,其中所述第一沉积工艺是根据第一配方执行的,所述第一配方具有所分配的所述RF功率的第一站到站的调整,并且所述第二沉积工艺是根据第二配方执行的,所述第二配方具有所分配的所述RF功率的第二站到站的调整,以及
指示所述一个或多个RF功率调节器来调节被分配到每个站的所述RF功率以减少站到站的变化,其中根据所述第一配方对所分配的所述RF功率的所述第一调整不同于根据所述第二配方对所分配的所述RF功率参数的所述第二调整。
CN201510299311.7A 2014-06-03 2015-06-03 利用rf平衡的多站式等离子体反应器 Active CN105321792B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810241339.9A CN108461376B (zh) 2014-06-03 2015-06-03 利用rf平衡的多站式等离子体反应器

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462007350P 2014-06-03 2014-06-03
US62/007,350 2014-06-03
US14/458,135 2014-08-12
US14/458,135 US9263350B2 (en) 2014-06-03 2014-08-12 Multi-station plasma reactor with RF balancing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201810241339.9A Division CN108461376B (zh) 2014-06-03 2015-06-03 利用rf平衡的多站式等离子体反应器

Publications (2)

Publication Number Publication Date
CN105321792A true CN105321792A (zh) 2016-02-10
CN105321792B CN105321792B (zh) 2018-04-24

Family

ID=54702649

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201810241339.9A Active CN108461376B (zh) 2014-06-03 2015-06-03 利用rf平衡的多站式等离子体反应器
CN201510299311.7A Active CN105321792B (zh) 2014-06-03 2015-06-03 利用rf平衡的多站式等离子体反应器

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201810241339.9A Active CN108461376B (zh) 2014-06-03 2015-06-03 利用rf平衡的多站式等离子体反应器

Country Status (5)

Country Link
US (3) US9263350B2 (zh)
KR (3) KR102460602B1 (zh)
CN (2) CN108461376B (zh)
SG (2) SG10201911380YA (zh)
TW (2) TWI729399B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107294510A (zh) * 2016-04-13 2017-10-24 朗姆研究公司 针对多状态以逐步方式调谐阻抗匹配网络的系统和方法
CN107452616A (zh) * 2016-05-13 2017-12-08 朗姆研究公司 使用电不对称效应控制等离子体处理空间的系统和方法
CN107658200A (zh) * 2016-07-25 2018-02-02 朗姆研究公司 在多站中的晶片弯曲度的控制
CN109659215A (zh) * 2017-10-10 2019-04-19 东京毅力科创株式会社 等离子体处理装置和检测电路
CN110785828A (zh) * 2017-04-27 2020-02-11 通快许廷格有限公司 电力转换单元、等离子体处理设备和控制多个等离子体处理的方法
CN111033681A (zh) * 2017-08-29 2020-04-17 Mks仪器有限公司 平衡rf电路和交叉耦合的simo分配网络的控制
CN111819655A (zh) * 2018-01-11 2020-10-23 Eq全球株式会社 源匹配器
CN112534561A (zh) * 2018-08-02 2021-03-19 朗姆研究公司 补偿室和工艺效应以改善修整工艺的关键尺寸变化
CN114207770A (zh) * 2019-07-31 2022-03-18 朗姆研究公司 具有多个输出端口的射频功率产生器
TWI812648B (zh) * 2017-10-10 2023-08-21 日商東京威力科創股份有限公司 電漿處理裝置及測定電路
US11984298B2 (en) 2019-12-02 2024-05-14 Lam Research Corporation Impedance transformation in radio-frequency-assisted plasma generation
US11994542B2 (en) 2020-03-27 2024-05-28 Lam Research Corporation RF signal parameter measurement in an integrated circuit fabrication chamber

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9263350B2 (en) 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
KR102323248B1 (ko) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US20170278679A1 (en) * 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10229816B2 (en) * 2016-05-24 2019-03-12 Mks Instruments, Inc. Solid-state impedance matching systems including a hybrid tuning network with a switchable coarse tuning network and a varactor fine tuning network
US10187032B2 (en) * 2016-06-17 2019-01-22 Lam Research Corporation Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
US9892956B1 (en) * 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US10109460B2 (en) * 2016-11-30 2018-10-23 Lam Research Corporation Universal non-invasive chamber impedance measurement system and associated methods
US11060189B2 (en) 2016-12-16 2021-07-13 Applied Materials, Inc. Method to enable high temperature processing without chamber drifting
US20180175819A1 (en) * 2016-12-16 2018-06-21 Lam Research Corporation Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor
KR102156879B1 (ko) * 2016-12-16 2020-09-16 주식회사 원익아이피에스 원격플라즈마 챔버 전력분기시스템 및 이를 이용한 전력분기방법
US10663355B2 (en) 2017-06-30 2020-05-26 Texas Instruments Incorporated Thermistor with tunable resistance
US10714314B1 (en) 2017-07-10 2020-07-14 Reno Technologies, Inc. Impedance matching network and method
US11101110B2 (en) 2017-07-10 2021-08-24 Reno Technologies, Inc. Impedance matching network and method
US11393659B2 (en) 2017-07-10 2022-07-19 Reno Technologies, Inc. Impedance matching network and method
US11289307B2 (en) 2017-07-10 2022-03-29 Reno Technologies, Inc. Impedance matching network and method
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
US11315758B2 (en) * 2017-07-10 2022-04-26 Reno Technologies, Inc. Impedance matching using electronically variable capacitance and frequency considerations
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11398370B2 (en) 2017-07-10 2022-07-26 Reno Technologies, Inc. Semiconductor manufacturing using artificial intelligence
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10832979B2 (en) * 2018-02-22 2020-11-10 Lam Research Corporation Feedback control system for iterative etch process
KR20200116160A (ko) * 2018-02-23 2020-10-08 램 리써치 코포레이션 고 전력 회로로부터 연결해제 없이 커패시턴스 측정
WO2019165296A1 (en) * 2018-02-23 2019-08-29 Lam Research Corporation Rf current measurement in semiconductor processing tool
JP2019186098A (ja) * 2018-04-12 2019-10-24 東京エレクトロン株式会社 プラズマを生成する方法
US10916411B2 (en) * 2018-08-13 2021-02-09 Tokyo Electron Limited Sensor-to-sensor matching methods for chamber matching
KR102605121B1 (ko) * 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11804362B2 (en) * 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
US11515123B2 (en) 2018-12-21 2022-11-29 Advanced Energy Industries, Inc. Apparatus and system for modulated plasma systems
KR20200126177A (ko) * 2019-04-29 2020-11-06 삼성전자주식회사 Rf 파워 모니터링 장치, 및 그 장치를 포함하는 pe 시스템
US11177115B2 (en) * 2019-06-03 2021-11-16 Applied Materials, Inc. Dual-level pulse tuning
KR20220018591A (ko) * 2019-06-07 2022-02-15 램 리써치 코포레이션 멀티 스테이션 반도체 프로세싱에서 독립적으로 조정 가능한 플로우 경로 컨덕턴스
CN114424447A (zh) 2019-07-29 2022-04-29 先进工程解决方案全球控股私人有限公司 用于多个负载的脉冲驱动的具有通道偏移的多路复用功率发生器输出
CN112530775A (zh) * 2019-09-18 2021-03-19 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
KR20220088474A (ko) * 2019-10-25 2022-06-27 램 리써치 코포레이션 멀티 스테이션 집적 회로 제조 챔버에서 rf (radio frequency) 전력 임밸런싱 (imbalancing)
KR102667081B1 (ko) * 2020-11-27 2024-05-17 세메스 주식회사 멀티 레벨 펄싱을 위한 장치 및 이를 포함하는 기판 처리 장치
WO2022177845A1 (en) * 2021-02-17 2022-08-25 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
KR102399398B1 (ko) * 2021-09-27 2022-05-18 아리온주식회사 알에프 스플리트 조정 시스템
CN118077041A (zh) * 2021-10-07 2024-05-24 朗姆研究公司 多站处理室部件的选择性控制
WO2023114067A1 (en) * 2021-12-13 2023-06-22 Lam Research Corporation Valve systems for balancing gas flow to multiple stations of a substrate processing system
TW202347404A (zh) * 2022-02-01 2023-12-01 美商蘭姆研究公司 Rf功率路徑對稱
US20240152114A1 (en) * 2022-11-03 2024-05-09 Applied Materials, Inc. Radio frequency impedance matching network with flexible tuning algorithms

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
CN102037789A (zh) * 2008-03-23 2011-04-27 先进能源工业公司 用于高级频率调谐的方法和设备
US8282983B1 (en) * 2008-09-30 2012-10-09 Novellus Systems, Inc. Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
TW201411845A (zh) * 2012-05-18 2014-03-16 諾發系統有限公司 透過電漿活化原子層沉積及保形膜沉積之保形摻雜

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6063375A (ja) * 1983-09-14 1985-04-11 Canon Inc 気相法堆積膜製造装置
US4695327A (en) * 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6199506B1 (en) 1999-06-30 2001-03-13 Novellus Systems, Inc. Radio frequency supply circuit for in situ cleaning of plasma-enhanced chemical vapor deposition chamber using NF3 or NF3/He mixture
US6899787B2 (en) * 2001-06-29 2005-05-31 Alps Electric Co., Ltd. Plasma processing apparatus and plasma processing system with reduced feeding loss, and method for stabilizing the apparatus and system
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US20070271751A1 (en) * 2005-01-27 2007-11-29 Weidman Timothy W Method of forming a reliable electrochemical capacitor
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
CN100362620C (zh) 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20100024732A1 (en) * 2006-06-02 2010-02-04 Nima Mokhlesi Systems for Flash Heating in Atomic Layer Deposition
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008153147A (ja) * 2006-12-20 2008-07-03 Seiko Epson Corp プラズマ処理装置
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8450635B2 (en) * 2007-03-30 2013-05-28 Lam Research Corporation Method and apparatus for inducing DC voltage on wafer-facing electrode
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
KR101627297B1 (ko) * 2008-10-13 2016-06-03 한국에이에스엠지니텍 주식회사 플라즈마 처리부 및 이를 포함하는 증착 장치 및 증착 방법
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8956983B2 (en) * 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
JP5867701B2 (ja) * 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
TWI595112B (zh) * 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
US9318304B2 (en) * 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9263350B2 (en) 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
CN102037789A (zh) * 2008-03-23 2011-04-27 先进能源工业公司 用于高级频率调谐的方法和设备
US8282983B1 (en) * 2008-09-30 2012-10-09 Novellus Systems, Inc. Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
TW201411845A (zh) * 2012-05-18 2014-03-16 諾發系統有限公司 透過電漿活化原子層沉積及保形膜沉積之保形摻雜

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107294510B (zh) * 2016-04-13 2021-05-18 朗姆研究公司 针对多状态以逐步方式调谐阻抗匹配网络的系统和方法
CN107294510A (zh) * 2016-04-13 2017-10-24 朗姆研究公司 针对多状态以逐步方式调谐阻抗匹配网络的系统和方法
CN107452616A (zh) * 2016-05-13 2017-12-08 朗姆研究公司 使用电不对称效应控制等离子体处理空间的系统和方法
CN107452616B (zh) * 2016-05-13 2020-09-11 朗姆研究公司 使用电不对称效应控制等离子体处理空间的系统和方法
CN107658200A (zh) * 2016-07-25 2018-02-02 朗姆研究公司 在多站中的晶片弯曲度的控制
CN107658200B (zh) * 2016-07-25 2020-04-14 朗姆研究公司 在多站中的晶片弯曲度的控制
CN110785828A (zh) * 2017-04-27 2020-02-11 通快许廷格有限公司 电力转换单元、等离子体处理设备和控制多个等离子体处理的方法
CN110785828B (zh) * 2017-04-27 2022-04-26 通快许廷格有限公司 电力转换单元、等离子体处理设备和控制多个等离子体处理的方法
CN111033681B (zh) * 2017-08-29 2023-03-10 Mks仪器有限公司 平衡rf电路和交叉耦合的simo分配网络的控制
CN111033681A (zh) * 2017-08-29 2020-04-17 Mks仪器有限公司 平衡rf电路和交叉耦合的simo分配网络的控制
US10903049B2 (en) 2017-10-10 2021-01-26 Tokyo Electron Limited Plasma processing apparatus and measurement circuit
CN109659215B (zh) * 2017-10-10 2021-03-09 东京毅力科创株式会社 等离子体处理装置和检测电路
CN109659215A (zh) * 2017-10-10 2019-04-19 东京毅力科创株式会社 等离子体处理装置和检测电路
TWI812648B (zh) * 2017-10-10 2023-08-21 日商東京威力科創股份有限公司 電漿處理裝置及測定電路
CN111819655A (zh) * 2018-01-11 2020-10-23 Eq全球株式会社 源匹配器
CN111819655B (zh) * 2018-01-11 2023-07-14 Eq全球株式会社 源匹配器
CN112534561A (zh) * 2018-08-02 2021-03-19 朗姆研究公司 补偿室和工艺效应以改善修整工艺的关键尺寸变化
CN114207770A (zh) * 2019-07-31 2022-03-18 朗姆研究公司 具有多个输出端口的射频功率产生器
US11984298B2 (en) 2019-12-02 2024-05-14 Lam Research Corporation Impedance transformation in radio-frequency-assisted plasma generation
US11994542B2 (en) 2020-03-27 2024-05-28 Lam Research Corporation RF signal parameter measurement in an integrated circuit fabrication chamber

Also Published As

Publication number Publication date
SG10201504057QA (en) 2016-01-28
KR20230149793A (ko) 2023-10-27
TWI729399B (zh) 2021-06-01
TWI668778B (zh) 2019-08-11
KR102460602B1 (ko) 2022-10-27
US20180163302A1 (en) 2018-06-14
US20160168701A1 (en) 2016-06-16
KR20150139461A (ko) 2015-12-11
CN108461376A (zh) 2018-08-28
CN108461376B (zh) 2020-08-04
US9840776B2 (en) 2017-12-12
KR102593566B1 (ko) 2023-10-23
TW201935596A (zh) 2019-09-01
SG10201911380YA (en) 2020-02-27
KR20220148149A (ko) 2022-11-04
US10145010B2 (en) 2018-12-04
CN105321792B (zh) 2018-04-24
US20150348854A1 (en) 2015-12-03
US9263350B2 (en) 2016-02-16
TW201611153A (zh) 2016-03-16

Similar Documents

Publication Publication Date Title
CN105321792A (zh) 利用rf 平衡的多站式等离子体反应器
TWI686506B (zh) 被帶走的蒸汽之測量系統及方法
CN105463408A (zh) 用于等离子体辅助原子层沉积中的rf补偿的方法和装置
US8282983B1 (en) Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
US11286560B2 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US20240096598A1 (en) Capacitance measurement without disconnecting from high power circuit
JP7247207B2 (ja) 半導体処理ツールにおけるrf電流測定
CN107419238A (zh) 多站沉积系统中膜厚度匹配的可变循环和时间rf激活方法
JP2022553368A (ja) マルチステーション集積回路製造チャンバにおける無線周波数(rf)電力の不平衡化
US20220190854A1 (en) Closed-loop multiple-output radio frequency (rf) matching
CN111758145B (zh) 等离子体辅助的半导体处理方法及其装置以及一种用于等离子体辅助的半导体沉积的装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant