CN104081506A - 具有低k间隔物的半导体器件及其形成方法 - Google Patents

具有低k间隔物的半导体器件及其形成方法 Download PDF

Info

Publication number
CN104081506A
CN104081506A CN201380005894.7A CN201380005894A CN104081506A CN 104081506 A CN104081506 A CN 104081506A CN 201380005894 A CN201380005894 A CN 201380005894A CN 104081506 A CN104081506 A CN 104081506A
Authority
CN
China
Prior art keywords
layer
sept
oxide
low
gate dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380005894.7A
Other languages
English (en)
Other versions
CN104081506B (zh
Inventor
程慷果
B·B·桃瑞丝
A·克哈基弗尔鲁茨
小道格拉斯·C·拉图利佩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN104081506A publication Critical patent/CN104081506A/zh
Application granted granted Critical
Publication of CN104081506B publication Critical patent/CN104081506B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种器件包括绝缘体上半导体(SOI)衬底(110)。SOI衬底(110)上的栅极叠层包括栅极电介质层(185)和栅极导体层(190)。低k间隔物(175)邻近于栅极电介质层(185)。凸起源极/漏极(RSD)区域(160)邻近于低k间隔物(175)。低k间隔物(175)嵌入RSD区域(160)上的层间电介质(ILD)层(165)中。

Description

具有低K间隔物的半导体器件及其形成方法
技术领域
本发明通常涉及半导体器件及其制造方法,并且更具体地涉及用于制造具有低k间隔物的半导体器件的结构和方法。
背景技术
随着半导体器件尺寸积极地缩小,寄生对器件性能的不利影响(例如栅极至接触寄生电容和边缘电容)变得越来越严重,尤其是对于具有凸起源极/漏极(RSD)的半导体器件(例如极薄绝缘体上硅(ETSOI)、FinFET或者纳米线器件)。ETSOI、FinFET或者纳米线器件要求RSD降低源极/漏极(S/D)电阻。减小寄生电容是维持低功率的同时提高交流性能的关健。
发明内容
在本发明的第一实施例中,一种形成器件的方法包括提供半导体衬底。方法包括在半导体衬底上形成伪栅极叠层。方法包括邻近于伪栅极叠层形成伪间隔物。方法包括邻近于伪间隔物在半导体衬底上形成凸起源极/漏极(RSD)区域。方法包括在伪间隔物和RSD区域上形成ILD层。方法包括移除伪栅极叠层和伪间隔物。方法进一步地包括邻近于RSD区域形成低k间隔物,其中低k间隔物嵌入ILD层中。方法还包括在半导体衬底上形成替换栅极叠层,替换栅极叠层包括半导体衬底上的栅极电介质层和栅极电介质层上的栅极导体层。
在本发明的另一个实施例中,一种器件包括半导体衬底。器件包括半导体衬底上的栅极叠层,栅极叠层包括半导体衬底上的栅极电介质层和栅极电介质层上的栅极导体层。器件包括邻近于栅极电介质层的低k间隔物。器件进一步地包括邻近于低k间隔物的凸起源极/漏极(RSD)区域。器件还包括RSD区域和低k间隔物上的ILD层,其中ILD层突出于低k间隔物。
在本发明的又一个实施例中,设计结构有形地体现在用于设计、制造或者测试集成电路的机器可读介质中,设计结构包括半导体衬底上的栅极叠层,栅极叠层包括半导体衬底上的栅极电介质层和栅极电介质层上的栅极导体层。设计结构包括邻近于栅极电介质层的低k间隔物。设计结构进一步地包括邻近于低k间隔物的凸起源极/漏极(RSD)区域。设计结构还包括RSD区域和低k间隔物上的ILD层,其中ILD层突出于低k间隔物。
附图说明
在下面的详细说明中参照附图对本发明进行了描述,附图描绘了本发明示例性实施例的非限制性示例。
图1示出了根据本发明实施例的起始结构;
图2-10示出了根据本发明实施例的处理步骤和中间结构;
图11示出了根据本发明实施例的处理步骤和最终结构;以及
图12示出了用于半导体设计、制造和/或测试的设计过程的流程图。
具体实施方式
本发明提供了用于形成具有RSD和具有替换高k/金属栅极的嵌入式低k间隔物的MOSFET的方法和结构。ETSOI器件被显示为示例性器件以图示本发明中公开的方法和结构的不同实施例;然而,本领域技术人员应该清楚,本发明中的方法可以应用于具有RSD的任何MOSFET结构,例如具有RSD的PDSOI MOSFET或者本体。本领域技术人员还应该清楚,即使三维(3-D)MOSFET结构(例如FinFET、三栅或者纳米线)是非平面的,在形成RSD之后并且只要关注中线(MOL),这些器件与平面器件结构之间就没有差别。从而,本发明中公开的方法同样地可应用于这种3-D器件结构,例如FinFET,三栅或者纳米线MOSFET,其中RSD有时可以称为合并源极/漏极(MSD)。
图1示出了根据本发明实施例的起始结构100。在一个实施例中,起始结构100包括绝缘体上半导体(SOI)衬底110。SOI衬底110包括衬底层115、掩埋氧化物(BOX)层120和ETSOI层125。衬底层115可以包括硅、硅锗或其它材料或者材料的组合。一般地,ETSOI层125可以具有在从大约3nm到大约10nm范围内的厚度,但可以更厚或更薄。起始结构100可以包括诸如本体半导体衬底的其它衬底,可以包括硅、锗、硅锗、碳化硅以及基本上由III-V化合物半导体和/或II-VI化合物半导体组成的那些。半导体衬底还可以包括有机半导体或分层半导体,例如Si/SiGe、绝缘体上硅或绝缘体上SiGe。半导体衬底部分或者全部可以是非晶的、多晶的或单晶的。起始结构100的一些部分或者整个部分可以为掺杂、未掺杂的或者在其中包括掺杂和未掺杂区域。半导体衬底可以在其中包括带应变区域和不带应变区域,或者包括拉伸应变区域和压缩应变区域。半导体结构100可以进一步包括其它的器件特征,例如隔离、阱和或在早期处理步骤中形成的其它特征。为简单起见,虽然还明确地构想了其它结构,但是此后ETSOI被用作示例性实施例。
参照图2,使用在本领域中已知的常规方法(例如沉积、光刻图案化和蚀刻)在ETSOI层125上形成伪栅极叠层111。在一个实施例中,伪栅极叠层111包括栅极氧化物130、多晶硅栅极135、氮化物盖层140和氧化物盖层145。还可以使用其它合适的材料作为伪栅极叠层111。
参照图3,使用在本领域中已知的常规方法(例如注入、等离子掺杂、固相掺杂等等)在ETSOI层125中形成延伸部150。使用在本领域中已知的常规方法(例如沉积氮化物材料以及对氧化物选择性地执行反应性离子蚀刻以形成并且下拉间隔物)在伪栅极叠层111的每侧上形成伪间隔物155。
参照图4,使用在本领域中已知的常规方法(例如掺杂和外延生长)在伪栅极叠层111的每侧上的SOI衬底110的ETSOI层125中的延伸部150上形成凸起源极/漏极(RSD)区域160。可选地,可以通过在ETSOI层上外延地生长原位掺杂半导体层并且随后进行热退火以使掺杂剂从原位掺杂层扩散到下面的ETSOI层来形成延伸部150和凸起源极/漏极区160。
参照图5,使用在本领域中已知的常规方法(例如化学气相淀积(CVD))沉积层间电介质(ILD)层165并且抛光到氮化物盖层140。ILD层165可以是氧化物,例如氧化硅(SiO)、掺杂的氧化硅(SiCOH)或者其它材料或者材料的组合。可以在ILD沉积之前或者稍后在该过程中形成源极/漏极硅化物。
参照图6,使用在本领域中已知的常规方法(例如湿蚀刻或者干蚀刻)移除氮化物盖层140、多晶硅栅极135和伪间隔物155。ILD层165突出部确保最终栅极长度将基本上与伪栅极相同以最小化栅极长度变化。
参照图7,低k材料170保形地沉积在ILD层165和栅极氧化物150上。低k材料具有大约7或更小的介电常数。低k材料的示例包括但不限于氢基倍半硅氧烷聚合物(HSQ)、甲基倍半硅氧烷聚合物(MSQ)、聚苯低聚物、甲基掺杂硅或SiOx(CH3)y或SiCxOyHy或SiOCH、有机硅酸盐玻璃(SiCOH)和多孔SiCOH、氧化硅、氮化硼、氮氧化硅等等。可以使用任何合适的技术(包括但不限于化学气相淀积和旋压涂敷)沉积低k材料。如在标题为“Method for LowTemperature Chemical Vapor Deposition of Low-k films UsingSelected Cyclosiloxane and Ozone Gases for SemiconductorApplications”的共同转让的美国专利No.6,531,412(其全部内容通过引用合并于此)中描述的,提供了示例性的沉积方法。可选地,可以使用本领域中已知的常规方法(例如旋压涂敷技术(未示出))用低k材料填充整个伪栅极开口。
参照图8,使用在本领域中已知的常规方法(例如对氧化物选择性地RIE,在伪栅极氧化物130上停止)形成低k间隔物175。可以执行侵蚀性RIE以下拉并且拉直低k间隔物175的侧壁。ILD层165突出部有助于在形成低k间隔物175期间最小化栅极长度变化。低k间隔物175嵌入ILD层175中。如果使用旋压技术,则可以使用在本领域中已知的常规方法使低k材料凹进以形成低k间隔物。
参照图9,可选地,如果担心低k间隔物175与稍后形成的高k栅极电介质层之间发生直接接触,则可以使用在本领域中已知的常规方法形成薄氮化物间隔物180以覆盖低k间隔物175和ILD层165的侧壁。可以使用可从Tokyo Electron Laboratory(TEL)商业上购买到的iRad(原位自由基辅助沉积)工具沉积薄氮化物间隔物180。薄氮化物间隔物180可以是大约2nm至大约6nm宽,但也可以更宽或者更窄。
参照图10,使用现有技术中已知的常规方法移除栅极氧化物130。在一个实施例中,仅移除在沟道区域中暴露的栅极氧化物并且栅极氧化物130的一部分保持在低k间隔物175下方。使用本领域中已知的常规方法(例如CVD或任何已知或后期开发的方法)在伪栅极开口中形成栅极电介质层185(例如高k电介质)。栅极电介质层185可以包括氧化铪、氧化铪硅、氮氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氮氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽、以及铌酸铅锌。栅极电介质可以进一步包括诸如镧或铝的掺杂剂。可以在栅极电介质层185与ETSOI层125之间形成薄界面层(未示出),例如氧化硅或氮化硅和/或氮氧化硅。当呈现界面层时,可以通过化学氧化、低温氧化、氮化、氧氮化等等形成界面层。界面层可以具有在从大约0.5nm到大约1.5nm范围内的厚度。在栅极电介质层185上形成栅极导体层190。栅极导体190可以包括金属材料(例如,钨、钛、钽、钌、锆、钴、铜、铝、铅、铂、锡、银和金)、导电金属化合物材料(例如,氮化钽、氮化钛、硅化钨、氮化钨、氧化钌、硅化钴和硅化镍)、碳纳米管、导电性碳、多晶硅或非晶硅、锗、硅锗或这些材料的任何合适的组合。导电材料可以进一步包括在沉积期间或者在沉积之后引入的掺杂剂。栅极电介质层和栅极导体层可以通过任何常规的沉积技术沉积,包括但不限于,原子层沉积(ALD)、分子层沉淀(MLD)、化学气相沉积(CVD)、低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、高密度等离子化学气相沉积(HDPCVD)、次大气压化学气相淀积(SACVD)、快速热化学气相沉积(RTCVD)、原位自由基辅助沉积、分子束外延(MBE)、物理气相沉积、溅射、电镀、蒸发、旋压涂敷、离子束沉积、电子束沉积、激光辅助沉积、化学溶液沉积或者这些方法的任意组合。
参照图11,使用在本领域中已知的常规方法在ILD层165、栅极电介质层185、栅极导体层190和间隔物185(如果存在)上沉积第二ILD层195。ILD层195可以是氧化物,例如氧化硅(SiO)、掺杂的氧化硅(SiCOH)或者其它材料或者材料的组合。可以使用在本领域中已知的常规方法在RSD区域160上形成沟槽硅化物200。可以使用在本领域中已知的常规方法在硅化物200上形成接触205。
图12示出了用于半导体IC逻辑设计、模拟、测试、布局和制造中的示例性设计流程900的框图。设计流程900包括用于处理设计结构或者器件以生成在上面描述并且在图1-11中示出的设计结构和/或器件的逻辑上或者另外功能上等效的表示的方法、机器和/或机构。由设计流程900处理和/或生成的设计结构可以被编码在机器可读传输或者存储介质上以包括当在数据处理系统上执行或者处理时生成硬件组件、电路、器件或者系统的逻辑上、结构上、机械上或者功能上等效的表示的数据和/或指令。机器包括,但不限于,用于IC设计过程(例如设计、制造或者模拟电路、组件、器件或者系统)的任何机器。例如,机器可以包括:光刻机器、用于生成掩模的机器和/或设备(例如电子束写入器)、用于模拟设计结构的计算机或者设备、用于制造或者测试过程的任何装置或者用于将设计结构的功能等效表示编程到任何介质中的任何机器(例如用于编程可编程门阵列的机器)。
设计流程900可以根据当前设计的表示类型而改变。例如,用于构造专用IC(ASIC)的设计流程900可以不同于用于设计标准组件的设计流程900或者不同于用于将设计实例化成可编程阵列(例如由Inc.或者Inc.提供的可编程门阵列(PGA)或者现场可编程门阵列(FPGA))的设计流程900。图9图示了包括优选地由设计过程910处理的输入设计结构920的多个这种设计结构。设计结构920可以是由设计过程910生成和处理以产生硬件器件的逻辑等效的功能表示的逻辑模拟设计结构。设计结构920还可以或者替代地包括当由设计过程910处理时生成硬件器件的物理结构的功能表示的数据和/或程序指令。不论表示功能和/或结构设计特征,都可以使用电子计算机辅助设计(ECAD)(例如由核心开发者/设计者实现的)生成设计结构920。当编码在机器可读数据传输、门阵列或者存储介质上时,设计结构920可以由设计过程910内的一个或者多个硬件和/或软件模块访问和处理以模拟或者功能性地表示电子组件、电路、电子或逻辑模块、装置、器件或者系统(例如在图1-11中示出的那些)。因而,设计结构920可以包括文件或者其它数据结构,所述文件或者其它数据结构包括当由设计或者模拟数据处理系统处理时功能性地模拟或者表示电路或者其它级别的硬件逻辑设计的人类和/或机器可读的源代码、编译结构和计算机可执行的代码结构。这种数据结构可以包括硬件描述语言(HDL)设计实体或者符合较低级别HDL设计语言(例如Verilog和VHDL)和/或较高级别设计语言(例如C或者C++)和/或与其兼容的其它数据结构。
设计过程910优选地采用和包括用于合成、转换或者处理图1-11中示出的组件、电路、器件或逻辑结构的设计/模拟功能等效以生成可以包括诸如设计结构920的设计结构的网表980的硬件和/或软件模块。网表980可以包括,例如表示电线、分立组件、逻辑门、控制电路、I/O器件、型号等等的列表的编译或者处理的数据结构,该列表描述了在集成电路设计中与其它元件和电路的连接。可以使用迭代过程合成网表980,在迭代过程中,根据用于器件的设计规范和参数一次或者多次地对网表980进行再合成。正如此处描述的其它设计结构类型,网表980可以记录在机器可读数据存储介质上或者编程到可编程门阵列中。介质可以是非易失性存储介质,例如磁盘驱动器或者光盘驱动器、可编程门阵列、紧凑闪存或者其它闪存。另外或者在替代方案中,介质可以是可以通过因特网或者其它联网合适的方法传输并且中间存储数据包的系统或者高速缓冲存储器、缓冲器空间或者电传导或者光传导器件和材料。
设计过程910可以包括用于处理各种输入数据结构类型(包括网表980)的硬件和软件模块。这种数据结构类型可以驻留在例如库元件930内并且对于给定制造技术(例如,不同技术节点,32nm、45nm、90nm等等)包括一组通常使用的包括型号、布局和符号表示的元件、电路和器件。数据结构类型可以进一步地包括设计规范940、特征数据950、验证数据960、设计规则970和测试数据文件985,该测试数据文件985可以包括输入测试图案、输出测试结果及其它测试信息。设计过程910可以进一步地包括例如标准机械设计过程,例如针对操作(例如铸造、模制和模压成型等等)的应力分析、热分析、机械事件模拟、过程模拟。机械设计的本领域技术人员可以理解在不背离本发明的范围和精神的情况下用于设计过程910的可能机械设计工具和应用的范围。设计过程910还可以包括用于执行标准电路设计过程(例如时序分析、验证、设计规则检查、布局和布线操作等等)的模块。
设计过程910采用并且包括诸如HDL编译器和模拟模型构造工具的逻辑和物理设计工具以处理设计结构920连同描绘的支持数据结构中的一些或者全部以及任何额外的机械设计或者数据(如果适用)以生成第二设计结构990。设计结构990以用于交换机械器件和结构的数据(例如以IGES、DXF、Parasolid XT、JT、DRG或者用于存储或者呈现这种机械设计结构的任何其它合适格式存储的信息)的数据格式驻留在存储介质或者可编程门阵列上。类似于设计结构920,设计结构990优选地包括一个或者多个文件、数据结构或者驻留在当由ECAD系统处理时生成图1-11示出的本发明实施例中的一个或者多个的逻辑上或者功能上等效的形式的其它计算机编码数据或者指令。在一个实施例中,设计结构990可以包括功能性地模拟图1-11示出的器件的编译、可执行的HDL模拟模型。
设计结构990还可以采用用于交换集成电路的布局数据(例如以GDSII(GDS2)、GL1、OASIS、映射文件或者用于存储这种设计数据结构的任何其它合适格式存储的信息)的数据格式和/或符号数据格式。设计结构990可以包括例如符号数据、映射文件、测试数据文件、设计内容文件、制造数据、布局参数、电线、金属的等级、通孔、形状、用于通过生产线布线的数据和制造商或者其它设计者/开发者所需的任何其它数据的信息以产生在上面描述并且在图1-11中示出的器件或者结构。设计结构990可以接着进行阶段995,其中,例如设计结构990:进行流片、发布至制造,发布至掩模室,发送至其他设计室、送回给客户等等。
如上所述的方法用于集成电路芯片的制造。制造者可以将所得到的集成电路芯片以原始晶片形式(也就是说,作为具有多个未封装芯片的单个晶片)作为裸片或者以封装形式分配。在后一种情况下,芯片被安装在单个芯片封装(例如具有附连至母板的引线的塑料载体或者其它更高级别的载体)或者多芯片封装(例如具有表面互连或者掩埋互连之一或者两者皆有的陶瓷载体)中。无论如何,芯片接着与其它芯片、分立电路元件和/或其它信号处理器件集成为(a)中间产品(例如母板)或者(b)最终产品的一部分。最终产品可以是包括集成电路芯片的任何产品,范围从玩具及其它低端应用到具有显示器、键盘或者其它输入器件和中央处理器的高级计算机产品。
这里所使用的术语仅用于描述特定实施例,而并非旨在限制本发明。除非上下文另外明确指出,单数形式“一”(a、an)和“该”(the)也旨在包括复数形式。应当进一步理解,当术语“包括”(comprises和/或comprising)在本说明书中使用时,意指所述特征、整体、步骤、操作、元件和/或部件的存在,而不排除一个或多个其它特征、整体、步骤、操作、元件、部件和/或其群组的存在或增加。
出于说明和描述的目的给出了本发明的描述,这并非旨在穷举或将本发明限于所公开的形式。在不脱离本发明的范围和精神的情况下,许多修改和变化对于本领域的技术人员来说都是清楚的。选择和描述实施例是为了更好地解释本发明的原理、实际应用,以及使本领域的其他技术人员能够理解本发明,以便实现具有适于所预期的特定使用的各种修改的各种实施例。
工业实用性
本发明在减小寄生的不利影响(例如具有RSD的半导体器件(例如ETSOI、FinFET或者纳米线器件)中的栅极至栅极寄生电容和边缘电容)中发现工业实用性。在大量电子装置和电气装置中得到应用的半导体器件中,减小寄生电容是在维持低功率的同时提高交流性能的关健。

Claims (25)

1.一种形成器件的方法,包括:
提供绝缘体上半导体(SOI)衬底(110);
在SOI衬底(110)上形成伪栅极叠层(111);
邻近于所述伪栅极叠层(111)形成伪间隔物(155);
邻近于所述伪间隔物(155)在所述SOI衬底(110)上形成凸起源极/漏极(RSD)区域(160);
在所述伪间隔物(155)和所述RSD区域(160)上形成层间电介质(ILD)层(165);
移除所述伪栅极叠层(111)和所述伪间隔物(155);
邻近于所述RSD区域(160)形成低k间隔物(175),其中所述低k间隔物(175)嵌入所述ILD层(165)中;以及
在所述SOI衬底(110)上形成替换栅极叠层,所述替换栅极叠层包括所述SOI衬底(110)上的栅极电介质层(185)和所述栅极电介质层(185)上的栅极导体层(190)。
2.根据权利要求1所述的方法,其中所述SOI衬底(110)包括极薄绝缘体上硅(ETSOI)层(125)、掩埋氧化物(BOX)层(120)和衬底层(115)。
3.根据权利要求2所述的方法,其中ETSOI层(125)具有在从大约3nm至大约10nm范围内的厚度。
4.根据权利要求1所述的方法,其中所述栅极电介质层(185)包括高k材料。
5.根据权利要求4所述的方法,其中所述高k材料选自由下列各项组成的组:氧化铪、氧化铪硅、氮氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氮氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽、以及铌酸铅锌。
6.根据权利要求1所述的方法,其中所述栅极导体层(190)包括金属。
7.根据权利要求6所述的方法,其中所述金属选自由下列各项组成的组:钨、钛、钽、钌、锆、钴、铜、铝、铅、铂、锡、银和金。
8.根据权利要求1所述的方法,进一步地包括在所述栅极电介质层(185)、所述低k间隔物(175)与所述ILD层(165)之间形成薄氮化物间隔物(180)。
9.根据权利要求8所述的方法,其中所述薄氮化物间隔物(185)具有在从大约2nm至大约6nm范围内的宽度。
10.一种器件,包括:
绝缘体上半导体(SOI)衬底(110);
在SOI衬底(110)上的栅极叠层,所述栅极叠层包括所述SOI衬底(110)上的栅极电介质层(185)和所述栅极电介质层(185)上的栅极导体层(190);
邻近于所述栅极电介质层(185)的低k间隔物(175);
邻近于所述低k间隔物(175)的凸起源极/漏极(RSD)区域(160);以及
在所述RSD区域(160)和所述低k间隔物(175)上的层间电介质(ILD)层(165),其中所述ILD层(165)突出于所述低k间隔物(175)。
11.根据权利要求10所述的器件,其中所述SOI衬底(110)包括绝极薄缘体上硅(ETSOI)层(125)、掩埋氧化物(BOX)层(120)和衬底层(115)。
12.根据权利要求11所述的器件,其中ETSOI层(125)具有在从大约3nm至大约10nm范围内的厚度。
13.根据权利要求10所述的器件,其中所述栅极电介质层(185)包括高k材料。
14.根据权利要求13所述的器件,其中所述高k材料选自下列各项组成的组:氧化铪、氧化铪硅、氮氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氮氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽、以及铌酸铅锌。
15.根据权利要求10所述的器件,其中所述栅极导体层(190)包括金属。
16.根据权利要求15所述的器件,其中所述金属选自由下列各项组成的组:钨、钛、钽、钌、锆、钴、铜、铝、铅、铂、锡、银和金。
17.根据权利要求10所述的器件,进一步地包括在所述栅极电介质层(185)、所述低k间隔物(175)与所述ILD层(165)之间的薄氮化物间隔物(180)。
18.根据权利要求17所述的器件,其中所述薄氮化物间隔物(180)具有在从大约2nm至大约6nm范围内的宽度。
19.一种设计结构(920),有形地体现在用于设计、制造或者测试集成电路的机器可读介质中,所述设计结构(920)包括:
绝缘体上半导体(SOI)衬底(110)上的栅极叠层,所述栅极叠层包括SOI衬底(110)上的栅极电介质层(185)和所述栅极电介质层(185)上的栅极导体层(190);
邻近于所述栅极电介质层(185)的低k间隔物(175);
邻近于所述低k间隔物(175)的凸起源极/漏极(RSD)区域(160);以及
在所述RSD区域(160)和所述低k间隔物(175)上的层间电介质(ILD)层(165),其中所述ILD层(165)突出于所述低k间隔物(175)。
20.根据权利要求19所述的设计结构(920),其中所述SOI衬底(110)包括极薄绝缘体上硅(ETSOI)层(125)、BOX层(120)和衬底层(115)。
21.根据权利要求20所述的设计结构(920),其中ETSOI层(125)具有在从大约3nm至大约10nm范围内的厚度。
22.根据权利要求19所述的设计结构(920),其中所述栅极电介质层(185)包括高k材料。
23.根据权利要求19所述的设计结构(920),其中所述栅极导体层(190)包括金属。
24.根据权利要求19所述的设计结构(920),进一步地包括在所述栅极电介质层(185)、所述低k间隔物(175)与所述ILD层(195)之间的薄氮化物间隔物(180)。
25.根据权利要求19所述的设计结构(920),其中所述设计结构(920)被合成到网表(980)中。
CN201380005894.7A 2012-01-20 2013-01-14 具有低k间隔物的半导体器件及其形成方法 Expired - Fee Related CN104081506B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/354,363 2012-01-20
US13/354,363 US9034701B2 (en) 2012-01-20 2012-01-20 Semiconductor device with a low-k spacer and method of forming the same
PCT/US2013/021357 WO2013109481A1 (en) 2012-01-20 2013-01-14 Semiconductor device with a low-k spacer and method of forming the same

Publications (2)

Publication Number Publication Date
CN104081506A true CN104081506A (zh) 2014-10-01
CN104081506B CN104081506B (zh) 2017-11-03

Family

ID=48796542

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380005894.7A Expired - Fee Related CN104081506B (zh) 2012-01-20 2013-01-14 具有低k间隔物的半导体器件及其形成方法

Country Status (5)

Country Link
US (2) US9034701B2 (zh)
CN (1) CN104081506B (zh)
DE (1) DE112013000360B4 (zh)
GB (1) GB2512008B (zh)
WO (1) WO2013109481A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109216459A (zh) * 2017-06-30 2019-01-15 台湾积体电路制造股份有限公司 用于制造半导体器件的方法
CN109314137A (zh) * 2016-07-02 2019-02-05 英特尔公司 带有释放的源极和漏极的半导体装置
US20210296507A1 (en) * 2017-11-30 2021-09-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with treated interfacial layer on silicon germanium

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8941177B2 (en) * 2012-06-27 2015-01-27 International Business Machines Corporation Semiconductor devices having different gate oxide thicknesses
US9214349B2 (en) 2012-10-12 2015-12-15 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device
US8906754B2 (en) * 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming a semiconductor device with a protected gate cap layer and the resulting device
KR20140122585A (ko) * 2013-04-10 2014-10-20 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102065973B1 (ko) * 2013-07-12 2020-01-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9502408B2 (en) * 2013-11-14 2016-11-22 Globalfoundries Inc. FinFET device including fins having a smaller thickness in a channel region, and a method of manufacturing same
US9543407B2 (en) 2014-02-27 2017-01-10 International Business Machines Corporation Low-K spacer for RMG finFET formation
CN106030815B (zh) 2014-03-24 2020-01-21 英特尔公司 制造纳米线器件的内部间隔体的集成方法
US9530864B2 (en) 2014-06-25 2016-12-27 Globalfoundries Inc. Junction overlap control in a semiconductor device using a sacrificial spacer layer
US9607989B2 (en) * 2014-12-04 2017-03-28 Globalfoundries Inc. Forming self-aligned NiSi placement with improved performance and yield
US20160247888A1 (en) * 2015-02-19 2016-08-25 International Business Machines Corporation Non-uniform gate dielectric for u-shape mosfet
US9608080B2 (en) * 2015-03-05 2017-03-28 International Business Machines Corporation Method and structure to reduce parasitic capacitance in raised source/drain silicon-on-insulator devices
US9437694B1 (en) 2015-04-01 2016-09-06 Stmicroelectronics (Crolles 2) Sas Transistor with a low-k sidewall spacer and method of making same
US20160372600A1 (en) * 2015-06-19 2016-12-22 International Business Machines Corporation Contact-first field-effect transistors
US9425105B1 (en) * 2015-09-15 2016-08-23 International Business Machines Corporation Semiconductor device including self-aligned gate structure and improved gate spacer topography
US9779959B2 (en) * 2015-09-17 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9947755B2 (en) 2015-09-30 2018-04-17 International Business Machines Corporation III-V MOSFET with self-aligned diffusion barrier
US10622457B2 (en) 2015-10-09 2020-04-14 International Business Machines Corporation Forming replacement low-K spacer in tight pitch fin field effect transistors
WO2017111774A1 (en) 2015-12-23 2017-06-29 Intel Corporation Transistor with inner-gate spacer
US9716042B1 (en) 2015-12-30 2017-07-25 International Business Machines Corporation Fin field-effect transistor (FinFET) with reduced parasitic capacitance
US9985107B2 (en) 2016-06-29 2018-05-29 International Business Machines Corporation Method and structure for forming MOSFET with reduced parasitic capacitance
US10256321B2 (en) 2016-08-19 2019-04-09 International Business Machines Corporation Semiconductor device including enhanced low-k spacer
US9704754B1 (en) * 2016-09-22 2017-07-11 International Business Machines Corporation Self-aligned spacer for cut-last transistor fabrication
US10326019B2 (en) 2016-09-26 2019-06-18 International Business Machines Corporation Fully-depleted CMOS transistors with U-shaped channel
CN108022842B (zh) 2016-11-03 2023-02-28 联华电子股份有限公司 半导体元件及其制作方法
TWI728174B (zh) 2017-08-21 2021-05-21 聯華電子股份有限公司 半導體元件及其製作方法
US10483168B2 (en) * 2017-11-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6348385B1 (en) * 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
US20050242376A1 (en) * 2004-04-29 2005-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of making the same
US20090039426A1 (en) * 2007-08-10 2009-02-12 International Business Machines Corporation Extremely-thin silicon-on-insulator transistor with raised source/drain
CN101764104A (zh) * 2008-12-23 2010-06-30 国际商业机器公司 半导体结构及其制造或操作方法
US20110227159A1 (en) * 2010-03-16 2011-09-22 International Business Machines Corporation Thin-box metal backgate extremely thin soi device

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100333372B1 (ko) * 2000-06-21 2002-04-19 박종섭 금속 게이트 모스팻 소자의 제조방법
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US7060546B2 (en) * 2003-11-26 2006-06-13 International Business Machines Corporation Ultra-thin SOI MOSFET method and structure
US7153734B2 (en) 2003-12-29 2006-12-26 Intel Corporation CMOS device with metal and silicide gate electrodes and a method for making it
US7208361B2 (en) 2004-03-24 2007-04-24 Intel Corporation Replacement gate process for making a semiconductor device that includes a metal gate electrode
US7081652B2 (en) 2004-04-14 2006-07-25 Kabushiki Kaisha Toshiba Semiconductor device having a side wall insulating film and a manufacturing method thereof
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US7148099B2 (en) 2004-06-24 2006-12-12 Intel Corporation Reducing the dielectric constant of a portion of a gate dielectric
US7579617B2 (en) 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
US20070152266A1 (en) 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
US7342266B2 (en) 2006-01-09 2008-03-11 International Business Machines Corporation Field effect transistors with dielectric source drain halo regions and reduced miller capacitance
US7687364B2 (en) 2006-08-07 2010-03-30 Intel Corporation Low-k isolation spacers for conductive regions
US7973409B2 (en) 2007-01-22 2011-07-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
JP2009088069A (ja) 2007-09-28 2009-04-23 Panasonic Corp 半導体装置及びその製造方法
US7648868B2 (en) * 2007-10-31 2010-01-19 International Business Machines Corporation Metal-gated MOSFET devices having scaled gate stack thickness
US8008145B2 (en) 2008-09-10 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. High-K metal gate structure fabrication method including hard mask
US8039381B2 (en) * 2008-09-12 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist etch back method for gate last process
US7923321B2 (en) 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
US8169024B2 (en) * 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US8617956B2 (en) * 2010-08-19 2013-12-31 International Business Machines Corporation Method and structure for forming high-K/metal gate extremely thin semiconductor on insulator device
US9076817B2 (en) 2011-08-04 2015-07-07 International Business Machines Corporation Epitaxial extension CMOS transistor
CN103107091B (zh) * 2011-11-15 2016-06-22 中国科学院微电子研究所 一种半导体结构及其制造方法
US8847315B2 (en) * 2012-05-07 2014-09-30 Qualcomm Incorporated Complementary metal-oxide-semiconductor (CMOS) device and method
CN103545208B (zh) * 2012-07-11 2018-02-13 中国科学院微电子研究所 半导体器件制造方法
US8877604B2 (en) * 2012-12-17 2014-11-04 International Business Machines Corporation Device structure with increased contact area and reduced gate capacitance

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6348385B1 (en) * 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
US20050242376A1 (en) * 2004-04-29 2005-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of making the same
US20090039426A1 (en) * 2007-08-10 2009-02-12 International Business Machines Corporation Extremely-thin silicon-on-insulator transistor with raised source/drain
CN101764104A (zh) * 2008-12-23 2010-06-30 国际商业机器公司 半导体结构及其制造或操作方法
US20110227159A1 (en) * 2010-03-16 2011-09-22 International Business Machines Corporation Thin-box metal backgate extremely thin soi device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109314137A (zh) * 2016-07-02 2019-02-05 英特尔公司 带有释放的源极和漏极的半导体装置
CN109314137B (zh) * 2016-07-02 2023-06-02 太浩研究有限公司 带有释放的源极和漏极的半导体装置
CN109216459A (zh) * 2017-06-30 2019-01-15 台湾积体电路制造股份有限公司 用于制造半导体器件的方法
CN109216459B (zh) * 2017-06-30 2021-09-10 台湾积体电路制造股份有限公司 用于制造半导体器件的方法
US20210296507A1 (en) * 2017-11-30 2021-09-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with treated interfacial layer on silicon germanium
US11688812B2 (en) * 2017-11-30 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with treated interfacial layer on silicon germanium

Also Published As

Publication number Publication date
US20150137240A1 (en) 2015-05-21
DE112013000360B4 (de) 2016-09-01
GB2512008A (en) 2014-09-17
GB2512008B (en) 2015-03-04
US20130187229A1 (en) 2013-07-25
GB201412524D0 (en) 2014-08-27
US9583628B2 (en) 2017-02-28
WO2013109481A1 (en) 2013-07-25
DE112013000360T5 (de) 2014-08-28
US9034701B2 (en) 2015-05-19
CN104081506B (zh) 2017-11-03

Similar Documents

Publication Publication Date Title
CN104081506B (zh) 具有低k间隔物的半导体器件及其形成方法
US9728621B1 (en) iFinFET
US8847323B2 (en) finFET devices
US8420476B2 (en) Integrated circuit with finFETs and MIM fin capacitor
US8932918B2 (en) FinFET with self-aligned punchthrough stopper
CN104838499A (zh) 石墨烯场效应晶体管
US8143135B2 (en) Embedded series deep trench capacitors and methods of manufacture
US8519454B2 (en) Structure and process for metal fill in replacement metal gate integration
CN104051272A (zh) 应力增强的finfet 器件
KR20170033494A (ko) 반도체 장치 및 반도체 장치의 제조 방법
CN104576315A (zh) 具有石墨烯纳米带的半导体器件及制造方法
CN107210225A (zh) pFET区域中的应变释放
US9472640B2 (en) Self aligned embedded gate carbon transistors
US9171844B2 (en) Gate structures and methods of manufacture
US7833891B2 (en) Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer
CN102428549A (zh) 具有取代栅极结构的场效应晶体管及其制造方法
US20140004666A1 (en) Passivation of carbon nanotubes with molecular layers
CN104253157A (zh) 薄本体开关晶体管
US9153669B2 (en) Low capacitance finFET gate structure
CN103700765B (zh) 制造方法和半导体器件
US9171952B2 (en) Low gate-to-drain capacitance fully merged finFET
CN103632966B (zh) Mos晶体管的形成方法
US8703572B2 (en) Embeded DRAM cell structures with high conductance electrodes and methods of manufacture
CN113540030A (zh) 半导体晶粒结构及其制备方法
TWI520187B (zh) 使用臨限電壓偏移效應的可程式化場效電晶體及其製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171129

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171129

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20171103

Termination date: 20190114

CF01 Termination of patent right due to non-payment of annual fee